<86>Feb 12 09:39:45 userdel[3635621]: delete user 'rooter'
<86>Feb 12 09:39:45 groupadd[3635628]: group added to /etc/group: name=rooter, GID=723
<86>Feb 12 09:39:45 groupadd[3635628]: group added to /etc/gshadow: name=rooter
<86>Feb 12 09:39:45 groupadd[3635628]: new group: name=rooter, GID=723
<86>Feb 12 09:39:45 useradd[3635632]: new user: name=rooter, UID=723, GID=723, home=/root, shell=/bin/bash
<86>Feb 12 09:39:45 userdel[3635642]: delete user 'builder'
<86>Feb 12 09:39:45 userdel[3635642]: removed group 'builder' owned by 'builder'
<86>Feb 12 09:39:45 userdel[3635642]: removed shadow group 'builder' owned by 'builder'
<86>Feb 12 09:39:45 groupadd[3635649]: group added to /etc/group: name=builder, GID=724
<86>Feb 12 09:39:45 groupadd[3635649]: group added to /etc/gshadow: name=builder
<86>Feb 12 09:39:45 groupadd[3635649]: new group: name=builder, GID=724
<86>Feb 12 09:39:45 useradd[3635655]: new user: name=builder, UID=724, GID=724, home=/usr/src, shell=/bin/bash
<13>Feb 12 09:39:47 rpmi: libgdbm-1.8.3-alt10 1454943313 installed
<13>Feb 12 09:39:47 rpmi: libexpat-2.4.3-alt1 p9+293696.100.1.1 1643124786 installed
<13>Feb 12 09:39:47 rpmi: libp11-kit-0.23.15-alt2 p9+254920.2400.19.1 1601385869 installed
<13>Feb 12 09:39:47 rpmi: libtasn1-4.14-alt1 p9+235792.100.2.1 1565425233 installed
<13>Feb 12 09:39:47 rpmi: rpm-macros-alternatives-0.5.1-alt1 sisyphus+226946.100.1.1 1554830426 installed
<13>Feb 12 09:39:47 rpmi: alternatives-0.5.1-alt1 sisyphus+226946.100.1.1 1554830426 installed
<13>Feb 12 09:39:47 rpmi: ca-certificates-2021.10.06-alt1 p9+289862.100.1.1 1637033643 installed
<13>Feb 12 09:39:47 rpmi: ca-trust-0.1.2-alt1 p9+233349.100.1.1 1561655062 installed
<13>Feb 12 09:39:47 rpmi: p11-kit-trust-0.23.15-alt2 p9+254920.2400.19.1 1601385869 installed
<13>Feb 12 09:39:47 rpmi: libcrypto1.1-1.1.1n-alt2 p9+297595.100.1.1 1648753395 installed
<13>Feb 12 09:39:47 rpmi: libssl1.1-1.1.1n-alt2 p9+297595.100.1.1 1648753395 installed
<13>Feb 12 09:39:47 rpmi: python3-3.7.11-alt1 p9+273501.10.37.1 1629720188 installed
<13>Feb 12 09:39:47 rpmi: libpython3-3.7.11-alt1 p9+273501.10.37.1 1629720188 installed
<13>Feb 12 09:39:48 rpmi: python3-base-3.7.11-alt1 p9+273501.10.37.1 1629720188 installed
<13>Feb 12 09:39:48 rpmi: tests-for-installed-python3-pkgs-0.1.13.1-alt2 1535450458 installed
<13>Feb 12 09:39:48 rpmi: rpm-build-python3-0.1.13.1-alt2 1535450458 installed
<13>Feb 12 09:39:52 rpmi: rpm-build-tcl-0.5.1-alt1 1519671307 installed
<13>Feb 12 09:39:52 rpmi: libtcl-8.6.9-alt1 sisyphus+227145.100.1.2 1555606523 installed
<13>Feb 12 09:39:52 rpmi: tcl-8.6.9-alt1 sisyphus+227145.100.1.2 1555606523 installed
<13>Feb 12 09:39:52 rpmi: gcc-c++-common-1.4.23-alt1 sisyphus+221902.2500.4.1 1550596716 installed
<13>Feb 12 09:39:53 rpmi: libstdc++8-devel-8.4.1-alt0.p9.1 p9+247559.100.2.1 1585587756 installed
<13>Feb 12 09:39:54 rpmi: gcc8-c++-8.4.1-alt0.p9.1 p9+247559.100.2.1 1585587756 installed
<13>Feb 12 09:39:54 rpmi: gcc-c++-8-alt2 p9+246536.100.3.1 1582643803 installed
<13>Feb 12 09:39:54 rpmi: tcl-devel-8.6.9-alt1 sisyphus+227145.100.1.2 1555606523 installed
<13>Feb 12 09:39:54 rpmi: libreadline-devel-7.0.3-alt3 sisyphus+222164.300.1.1 1550686325 installed
<13>Feb 12 09:39:54 rpmi: libffi-devel-1:3.2.1-alt3 p9+251949.100.1.1 1589887590 installed
<13>Feb 12 09:39:54 rpmi: flex-2.6.4.0.88.9801-alt1 sisyphus+221902.2300.4.1 1550596258 installed
Building target platforms: x86_64
Building for target x86_64
Wrote: /usr/src/in/nosrpm/yosys-0.7.0.0.826.g626b555-alt1.nosrc.rpm (w1.gzdio)
Installing yosys-0.7.0.0.826.g626b555-alt1.src.rpm
Building target platforms: x86_64
Building for target x86_64
Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.75279
+ umask 022
+ /bin/mkdir -p /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ rm -rf yosys-0.7.0.0.826.g626b555
+ echo 'Source #0 (yosys-0.7.0.0.826.g626b555.tar):'
Source #0 (yosys-0.7.0.0.826.g626b555.tar):
+ /bin/tar -xf /usr/src/RPM/SOURCES/yosys-0.7.0.0.826.g626b555.tar
+ cd yosys-0.7.0.0.826.g626b555
+ /bin/chmod -c -Rf u+rwX,go-w .
+ echo 'Patch #0 (explicit-git-revision.patch):'
Patch #0 (explicit-git-revision.patch):
+ /usr/bin/patch -p1
patching file Makefile
+ echo 'Patch #1 (makefile-cxxflags.patch):'
Patch #1 (makefile-cxxflags.patch):
+ /usr/bin/patch -p1
patching file Makefile
+ exit 0
Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.38306
+ umask 022
+ /bin/mkdir -p /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ cd yosys-0.7.0.0.826.g626b555
+ make config-gcc
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
/bin/sh: clang: command not found
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
rm -rf share
if test -d manual; then cd manual && sh clean.sh; fi
find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f
find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f
find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f
find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f
find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f
find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f
find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f
find ./ -name '*.aux' | xargs rm -f
find ./ -name '*.bbl' | xargs rm -f
find ./ -name '*.blg' | xargs rm -f
find ./ -name '*.idx' | xargs rm -f
find ./ -name '*.log' | xargs rm -f
find ./ -name '*.out' | xargs rm -f
find ./ -name '*.pdf' | xargs rm -f
find ./ -name '*.toc' | xargs rm -f
find ./ -name '*.snm' | xargs rm -f
find ./ -name '*.nav' | xargs rm -f
find ./ -name '*.vrb' | xargs rm -f
find ./ -name '*.ok' | xargs rm -f
rm -f kernel/version_626b555.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verific/verific.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/smt2/smt2.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/gowin/synth_gowin.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/common/synth.o techlibs/common/prep.o  frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.h frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.h frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc  share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/lut2lut.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/cells.lib  passes/techmap/filterlib.o techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk
rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
rm -rf tests/asicworld/*.out tests/asicworld/*.log
rm -rf tests/hana/*.out tests/hana/*.log
rm -rf tests/simple/*.out tests/simple/*.log
rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp
rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
rm -f  tests/tools/cmp_tbdata
echo 'CONFIG := gcc' > Makefile.conf
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
+ echo 'CXXFLAGS += -pipe -frecord-gcc-switches -Wall -g -O2'
+ make -j8 ABCEXTERNAL=abc PRETTY=0 PREFIX=/usr
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] CXXFLAGS += -pipe -frecord-gcc-switches -Wall -g -O2
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.7+601 (git sha1 626b555, gcc 8.4.1 -fPIC -frecord-gcc-switches -O2)\"; }" > kernel/version_626b555.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new
mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new
mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerAlgorithms.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/bigint/
gcc -o libs/bigint/BigInteger.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/bigint/
gcc -o libs/bigint/BigIntegerUtils.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsigned.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/bigint/
gcc -o libs/bigint/BigUnsignedInABase.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/celledges.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/calc.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/sha1/
gcc -o libs/sha1/sha1.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/cellaigs.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezminisat.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/minisat/
gcc -o libs/minisat/Options.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/yosys.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/minisat/
gcc -o libs/minisat/System.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ilang/
bison -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y
mv frontends/ilang/ilang_parser.tab.c frontends/ilang/ilang_parser.tab.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ilang/
flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/log.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/minisat/
gcc -o libs/minisat/Solver.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:29:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Minisat::vec<T, _Size>::Size = int]':
libs/minisat/Vec.h:119:5:   required from 'void Minisat::vec<T, _Size>::growTo(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<Minisat::Solver::Watcher>; _Size = int; Minisat::vec<T, _Size>::Size = int]'
libs/minisat/IntMap.h:48:48:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = Minisat::Lit; V = Minisat::vec<Minisat::Solver::Watcher>; MkIndex = Minisat::MkIndexLit]'
libs/minisat/SolverTypes.h:338:37:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec<Minisat::Solver::Watcher>; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]'
libs/minisat/Solver.cc:134:35:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<Minisat::Solver::Watcher>'; use 'new' and 'delete' instead [-Wclass-memaccess]
     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from libs/minisat/Alg.h:24,
                 from libs/minisat/Solver.cc:29:
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<Minisat::Solver::Watcher>' declared here
 class vec {
       ^~~
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ilang/
gcc -o frontends/ilang/ilang_frontend.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/minisat/
gcc -o libs/minisat/SimpSolver.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:27:
libs/minisat/Vec.h: In instantiation of 'void Minisat::vec<T, _Size>::capacity(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<unsigned int>; _Size = int; Minisat::vec<T, _Size>::Size = int]':
libs/minisat/Vec.h:119:5:   required from 'void Minisat::vec<T, _Size>::growTo(Minisat::vec<T, _Size>::Size) [with T = Minisat::vec<unsigned int>; _Size = int; Minisat::vec<T, _Size>::Size = int]'
libs/minisat/IntMap.h:48:48:   required from 'void Minisat::IntMap<K, V, MkIndex>::reserve(K) [with K = int; V = Minisat::vec<unsigned int>; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SolverTypes.h:338:37:   required from 'void Minisat::OccLists<K, Vec, Deleted, MkIndex>::init(const K&) [with K = int; Vec = Minisat::vec<unsigned int>; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault<int>]'
libs/minisat/SimpSolver.cc:92:28:   required from here
libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec<unsigned int>'; use 'new' and 'delete' instead [-Wclass-memaccess]
     ||   (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) )
                        ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from libs/minisat/Sort.h:24,
                 from libs/minisat/SimpSolver.cc:27:
libs/minisat/Vec.h:39:7: note: 'class Minisat::vec<unsigned int>' declared here
 class vec {
       ^~~
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
bison -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y
mv frontends/verilog/verilog_parser.tab.c frontends/verilog/verilog_parser.tab.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verific/
gcc -o frontends/verific/verific.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/driver.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/ezsat/
gcc -o libs/ezsat/ezsat.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
gcc -o frontends/verilog/const2ast.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/json/
gcc -o frontends/json/jsonparse.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
gcc -o frontends/verilog/preproc.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ast/
gcc -o frontends/ast/dpicall.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ast/
gcc -o frontends/ast/ast.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_frontend.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p libs/subcircuit/
gcc -o libs/subcircuit/subcircuit.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ast/
gcc -o frontends/ast/genrtlil.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/liberty/
gcc -o frontends/liberty/liberty.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/tests/
gcc -o passes/tests/test_autotb.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/blif/
gcc -o frontends/blif/blifparse.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/rtlil.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ast/
gcc -o frontends/ast/simplify.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/sim.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/miter.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/expose.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/assertpmux.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/tests/
gcc -o passes/tests/test_abcloop.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/clk2fflogic.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_muxtree.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_merge.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_rmdff.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_reduce.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/tests/
gcc -o passes/tests/test_cell.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_demorgan.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/rmports.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/wreduce.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_clean.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/freduce.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/eval.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/sat/
gcc -o passes/sat/sat.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_dff.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_collect.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_unpack.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new
echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new
od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new
echo "0};" >> passes/techmap/techmap.inc.new
mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_memx.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_map.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_bram.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_nordff.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/simplemap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/libparse.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/dfflibmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/hilomap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/maccmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/iopadmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_counter.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/extract.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/opt_expr.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/alumacc.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_reduce.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/extract_fa.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/dffinit.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/pmuxtree.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/aigmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/abc.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"abc"' passes/techmap/abc.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/lut2mux.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/muxcover.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/dff2dffe.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/tribuf.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/deminout.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/opt/
gcc -o passes/opt/share.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/insbuf.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/nlutmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/shregmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/dffsr2dff.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmvcp.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/delete.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/add.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/memory/
gcc -o passes/memory/memory_share.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/rename.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/attrmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/zinit.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/design.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/connect.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/scatter.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/copy.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/select.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/stat.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/setundef.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/logcmd.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/splitnets.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/setattr.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/show.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/tee.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/write_file.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/cover.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/torder.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/plugin.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/trace.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/splice.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/connwrappers.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/scc.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/blackbox.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/check.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/chtype.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/qwp.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/edgetypes.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/chformal.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/uniquify.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/cmds/
gcc -o passes/cmds/ltp.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_status.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_remove.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_add.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/submod.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/hierarchy/
gcc -o passes/hierarchy/hierarchy.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_miter.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_make.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_purge.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_struct.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_mark.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_opt.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_recode.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_info.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_detect.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_expand.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_export.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_clean.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_extract.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_rmdead.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_init.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/fsm/
gcc -o passes/fsm/fsm_map.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_arst.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_mux.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/firrtl/
gcc -o backends/firrtl/firrtl.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_dlatch.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_induct.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/proc/
gcc -o passes/proc/proc_dff.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/table/
gcc -o backends/table/table.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/equiv/
gcc -o passes/equiv/equiv_simple.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/ilang/
gcc -o backends/ilang/ilang_backend.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/simplec/
gcc -o backends/simplec/simplec.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/aiger/
gcc -o backends/aiger/aiger.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/edif/
gcc -o backends/edif/edif.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/btor/
gcc -o backends/btor/btor.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/json/
gcc -o backends/json/json.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/intersynth/
gcc -o backends/intersynth/intersynth.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/easic/
gcc -o techlibs/easic/synth_easic.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/xilinx/
gcc -o techlibs/xilinx/synth_xilinx.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/gowin/
gcc -o techlibs/gowin/synth_gowin.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/spice/
gcc -o backends/spice/spice.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/synth_ice40.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/blif/
gcc -o backends/blif/blif.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_ffssr.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/smv/
gcc -o backends/smv/smv.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_opt.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/intel/
gcc -o techlibs/intel/synth_intel.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/ice40/
gcc -o techlibs/ice40/ice40_ffinit.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/smt2/
gcc -o backends/smt2/smt2.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
sed -e 's#@CXXFLAGS@#-Wall -Wextra -ggdb -I"/usr/share/yosys/include" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \
		-e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -lpthread -ltclstub8.6#;' \
		-e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config
chmod +x yosys-config
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p backends/verilog/
gcc -o backends/verilog/verilog_backend.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(__file__) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new
chmod +x yosys-smtbmc.new
mv yosys-smtbmc.new yosys-smtbmc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/yosys.h share/include/kernel/yosys.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/log.h share/include/kernel/log.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/synth_greenpak4.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/register.h share/include/kernel/register.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/celledges.h share/include/kernel/celledges.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/consteval.h share/include/kernel/consteval.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/modtools.h share/include/kernel/modtools.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/macc.h share/include/kernel/macc.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/utils.h share/include/kernel/utils.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/kernel/
cp "./"/kernel/satgen.h share/include/kernel/satgen.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/libs/sha1/
cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/passes/fsm/
cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/include/backends/ilang/
cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/achronix/
gcc -o techlibs/achronix/synth_achronix.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/python3
cp "./"/backends/smt2/smtio.py share/python3/smtio.py
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lut2lut.v share/xilinx/lut2lut.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/xilinx
python3 techlibs/xilinx/brams_init.py
touch techlibs/xilinx/brams_init.mk
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/common/
gcc -o techlibs/common/synth.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/ice40
python3 techlibs/ice40/brams_init.py
touch techlibs/ice40/brams_init.mk
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/a10gx
cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cyclonev
cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cyclone10
cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/a10gx
cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cyclonev
cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cyclone10
cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/simlib.v share/simlib.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/simcells.v share/simcells.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/techmap.v share/techmap.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/adff2dff.v share/adff2dff.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/dff2ff.v share/dff2ff.v
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share
cp "./"/techlibs/common/cells.lib share/cells.lib
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/version_626b555.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_626b555.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/common/
gcc -o techlibs/common/prep.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ilang/
gcc -o frontends/ilang/ilang_lexer.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/filterlib.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/xilinx
cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p share/ice40
cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p ./
gcc -o yosys-filterlib  -L/usr/lib -rdynamic passes/techmap/filterlib.o  -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -lpthread -ltclstub8.6
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_lexer.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/greenpak4/
gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p techlibs/coolrunner2/
gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/ilang/
gcc -o frontends/ilang/ilang_parser.tab.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p frontends/verilog/
gcc -o frontends/verilog/verilog_parser.tab.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_parser.tab.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p kernel/
gcc -o kernel/register.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p passes/techmap/
gcc -o passes/techmap/techmap.o -c   -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
gcc -o yosys  -L/usr/lib -rdynamic kernel/version_626b555.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verific/verific.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/smt2/smt2.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/gowin/synth_gowin.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/common/synth.o techlibs/common/prep.o  -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -lpthread -ltclstub8.6
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'

  Build successful.

make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
+ exit 0
Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.54672
+ umask 022
+ /bin/mkdir -p /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ /bin/chmod -Rf u+rwX -- /usr/src/tmp/yosys-buildroot
+ :
+ /bin/rm -rf -- /usr/src/tmp/yosys-buildroot
+ PATH=/usr/libexec/rpm-build:/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games
+ cd yosys-0.7.0.0.826.g626b555
+ make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/yosys-buildroot PREFIX=/usr ABCEXTERNAL=abc
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
[Makefile.conf] CONFIG := gcc
[Makefile.conf] CXXFLAGS += -pipe -frecord-gcc-switches -Wall -g -O2
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
make: Entering directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
mkdir -p /usr/src/tmp/yosys-buildroot/usr/bin
cp yosys yosys-config yosys-filterlib yosys-smtbmc /usr/src/tmp/yosys-buildroot/usr/bin
strip -S /usr/src/tmp/yosys-buildroot/usr/bin/yosys
strip /usr/src/tmp/yosys-buildroot/usr/bin/yosys-filterlib
mkdir -p /usr/src/tmp/yosys-buildroot/usr/share/yosys
cp -r share/. /usr/src/tmp/yosys-buildroot/usr/share/yosys/.
make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.7.0.0.826.g626b555'
+ mkdir -p /usr/src/tmp/yosys-buildroot//usr/share/man/man1/ /usr/src/tmp/yosys-buildroot//usr/include/
+ install -m 644 yosys-config.1 yosys-filterlib.1 yosys-smtbmc.1 yosys.1 /usr/src/tmp/yosys-buildroot//usr/share/man/man1/
+ mv /usr/src/tmp/yosys-buildroot/usr/share/yosys/include/ /usr/src/tmp/yosys-buildroot/usr/include/yosys
+ /usr/lib/rpm/brp-alt
Cleaning files in /usr/src/tmp/yosys-buildroot (auto)
Verifying and fixing files in /usr/src/tmp/yosys-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig)
/usr/bin/yosys-config:
11c11
< 		echo "    --cxxflags    $( echo '-Wall -Wextra -ggdb -I"/usr/share/yosys/include" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER' | fmt -w60 | sed ':a;N;$!ba;s/\n/ \\\n                      /g' )"
---
> 		echo "    --cxxflags    $( echo '-Wall -Wextra -ggdb -I"/usr/share/yosys/include" -MD -D_YOSYS_ -fPIC  -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER' | fmt -w60 | sed ':a;N;$!ba;s/\n/ \\\n                      /g' )"
65c65
< 			tokens=( "${tokens[@]}"  -Wall -Wextra -ggdb -I"/usr/share/yosys/include" -MD -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER  ) ;;
---
> 			tokens=( "${tokens[@]}"  -Wall -Wextra -ggdb -I"/usr/share/yosys/include" -MD -D_YOSYS_ -fPIC  -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER  ) ;;
Checking contents of files in /usr/src/tmp/yosys-buildroot/ (default)
Compressing files in /usr/src/tmp/yosys-buildroot (auto)
056-debuginfo.brp: WARNING: You have 1 stripped ELF objects. Please compile with debugging information!
056-debuginfo.brp: WARNING: An excerpt from the list of affected files follows:
  ./usr/bin/yosys-filterlib
056-debuginfo.brp: WARNING: 1 non-stripped binaries don't contain .debug sections making -debuginfo
056-debuginfo.brp: WARNING: package less relevant. An excerpt from the list of affected files follows:
  ./usr/bin/yosys
056-debuginfo.brp: WARNING: debuginfo without debug sources.
Verifying ELF objects in /usr/src/tmp/yosys-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal)
section [ 5] '.dynsym': symbol 1857 (__start_yosys_cover_list): symbol in dynamic symbol table with non-default visibility
section [ 5] '.dynsym': symbol 2841 (__stop_yosys_cover_list): symbol in dynamic symbol table with non-default visibility
verify-elf: WARNING: ./usr/bin/yosys: eu-elflint failed
Bytecompiling python modules in /usr/src/tmp/yosys-buildroot using /usr/bin/python
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64'
Bytecompiling python modules with optimization in /usr/src/tmp/yosys-buildroot using /usr/bin/python -O
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64'
Bytecompiling python3 modules in /usr/src/tmp/yosys-buildroot using /usr/bin/python3
compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib/python3/site-packages'
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64/python3/site-packages'
Bytecompiling python3 modules with optimization in /usr/src/tmp/yosys-buildroot using /usr/bin/python3 -O
compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64/python3/site-packages'
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib/python3/site-packages'
Bytecompiling python3 modules with optimization-2 in /usr/src/tmp/yosys-buildroot using /usr/bin/python3 -OO
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64/python3/site-packages'
Can't list '/usr/src/tmp/yosys-buildroot/usr/lib/python3/site-packages'
compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py
Hardlinking identical .pyc and .opt-?.pyc files
'./usr/share/yosys/python3/__pycache__/smtio.cpython-37.opt-2.pyc' => './usr/share/yosys/python3/__pycache__/smtio.cpython-37.opt-1.pyc'
Hardlinking identical .pyc and .pyo files
Processing files: yosys-0.7.0.0.826.g626b555-alt1
Finding Provides (using /usr/lib/rpm/find-provides)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.FzE51w
find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell,tcl)
Finding Requires (using /usr/lib/rpm/find-requires)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.nbZ8IS
find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services,tcl)
lib.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/bin/yosys-filterlib: overlinked libraries: /lib64/libpthread.so.0
python3.req: /usr/src/tmp/yosys-buildroot/usr/bin/yosys-smtbmc: skipping sys
python3.req: /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py: skipping sys
python3.req: /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py: skipping time
find-requires: FINDPACKAGE-COMMANDS: python3
Requires: alanmi-abc, /lib64/ld-linux-x86-64.so.2, /usr/bin/env, libc.so.6(GLIBC_2.11)(64bit), libc.so.6(GLIBC_2.14)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.27)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.4)(64bit), libc.so.6(GLIBC_2.8)(64bit), libdl.so.2(GLIBC_2.2.5)(64bit), libffi.so.6()(64bit) >= set:jg72JScyTpCbqkGhh, libgcc_s.so.1(GCC_3.0)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libpthread.so.0(GLIBC_2.2.5)(64bit), libreadline.so.7()(64bit) >= set:njCJKlFIZEt3XbmS227XIwLqZmmZfZ828r2SjbmTHYqw0, libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.14)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), libtcl8.6.so()(64bit) >= set:nj04hPZLB8V6Mp2uw7svhvlNvZH1, python3, python3(collections) < 0, python3(copy) < 0, python3(getopt) < 0, python3(os) < 0, python3(queue) < 0, python3(re) < 0, python3(resource) < 0, python3(select) < 0, python3(signal) < 0, python3(subprocess) < 0, python3(threading) < 0, rtld(GNU_HASH), rtld(GNU_UNIQUE)
Requires(rpmlib): rpmlib(SetVersions)
Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.XM5yrs
Creating yosys-debuginfo package
Processing files: yosys-devel-0.7.0.0.826.g626b555-alt1
Finding Provides (using /usr/lib/rpm/find-provides)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.NSbSy5
find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell,tcl)
Finding Requires (using /usr/lib/rpm/find-requires)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.h5IifM
find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services,tcl)
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h:20:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42:10: fatal error: map: No such file or directory
 #include <map>
          ^~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h: cpp failed, trying c++ mode
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h:20:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42:10: fatal error: map: No such file or directory
 #include <map>
          ^~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h: cpp failed, trying c++ mode
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:20:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42:10: fatal error: map: No such file or directory
 #include <map>
          ^~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h: cpp failed, trying c++ mode
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:20:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42:10: fatal error: map: No such file or directory
 #include <map>
          ^~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h: cpp failed, trying c++ mode
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h: cpp failed
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42:10: fatal error: map: No such file or directory
 #include <map>
          ^~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h: cpp failed, trying c++ mode
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:20,
                 from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42:10: fatal error: map: No such file or directory
 #include <map>
          ^~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h: cpp failed, trying c++ mode
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:20,
                 from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h: cpp failed
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/hashlib.h:15:10: fatal error: stdexcept: No such file or directory
 #include <stdexcept>
          ^~~~~~~~~~~
compilation terminated.
cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/hashlib.h: cpp failed, trying c++ mode
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/modtools.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/modtools.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/register.h:20:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/register.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/sigtools.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/sigtools.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celledges.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celledges.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celltypes.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celltypes.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:20,
                 from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/consteval.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/consteval.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/passes/fsm/fsmdata.h:23:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/passes/fsm/fsmdata.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:20,
                 from /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/ast/ast.h:32:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/ast/ast.h: cpp failed
In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/backends/ilang/ilang_backend.h:28:
/usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:70:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration.
 #  error It looks like you are trying to build Yosys without the config defines set. \
    ^~~~~
cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/backends/ilang/ilang_backend.h: cpp failed
Requires: /bin/bash
Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.9hkdRG
Processing files: yosys-debuginfo-0.7.0.0.826.g626b555-alt1
Finding Provides (using /usr/lib/rpm/find-provides)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.zqqR2E
find-provides: running scripts (debuginfo)
Finding Requires (using /usr/lib/rpm/find-requires)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.PrFOBG
find-requires: running scripts (debuginfo)
Requires: yosys = 0.7.0.0.826.g626b555-alt1, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libc.so.6), debug64(libdl.so.2), debug64(libffi.so.6), debug64(libgcc_s.so.1), debug64(libm.so.6), debug64(libpthread.so.0), debug64(libreadline.so.7), debug64(libstdc++.so.6), debug64(libtcl8.6.so)
Adding to yosys-debuginfo a strict dependency on yosys
Wrote: /usr/src/RPM/RPMS/x86_64/yosys-0.7.0.0.826.g626b555-alt1.x86_64.rpm (w2.lzdio)
Wrote: /usr/src/RPM/RPMS/x86_64/yosys-devel-0.7.0.0.826.g626b555-alt1.x86_64.rpm (w2.lzdio)
Wrote: /usr/src/RPM/RPMS/x86_64/yosys-debuginfo-0.7.0.0.826.g626b555-alt1.x86_64.rpm (w2.lzdio)
1109.83user 52.80system 6:58.08elapsed 278%CPU (0avgtext+0avgdata 949308maxresident)k
0inputs+0outputs (0major+18511635minor)pagefaults 0swaps
4.72user 1.81system 7:15.62elapsed 1%CPU (0avgtext+0avgdata 120340maxresident)k
0inputs+0outputs (51435major+222537minor)pagefaults 0swaps
--- yosys-0.7.0.0.826.g626b555-alt1.x86_64.rpm.repo	2019-04-23 05:22:35.000000000 +0000
+++ yosys-0.7.0.0.826.g626b555-alt1.x86_64.rpm.hasher	2023-02-12 09:46:58.704949115 +0000
@@ -132,4 +132,4 @@
 Provides: yosys = 0.7.0.0.826.g626b555-alt1:sisyphus+227564.1700.1.2
-File: /usr/bin/yosys	100755	root:root	2641b0ae00fca2f464b69986698b746b	
-File: /usr/bin/yosys-filterlib	100755	root:root	62742fa64af690de5ccede1acbe78205	
+File: /usr/bin/yosys	100755	root:root	4999b98a6711db2b4ea3f1da292b272a	
+File: /usr/bin/yosys-filterlib	100755	root:root	dc92fa4432f7dd6d79e04d18423428a2	
 File: /usr/bin/yosys-smtbmc	100755	root:root	0373c7915b437ccbad466709ada8c6fa	
@@ -222,2 +222,2 @@
 File: /usr/share/yosys/xilinx/lut2lut.v	100644	root:root	cfa4dee1960c205ad38219e8157de10f	
-RPMIdentity: f0831b073a9382eb8879ba045a45dce5b35d92f46a5eb45734abf44e4c830ab4dc4d2b5f4f8fe392505320ab144aa4ec6728e9ac5d6e96286af1ab0b192a4cbd
+RPMIdentity: 7e6c12deee14560c598c54e01c0c03b550f97f834b6a15344206e619409a5952032e30d2c9c1e7f54c7f956e3d6174e70d423aa8331cc59c067ac92add967306
--- yosys-debuginfo-0.7.0.0.826.g626b555-alt1.x86_64.rpm.repo	2019-04-23 05:22:35.000000000 +0000
+++ yosys-debuginfo-0.7.0.0.826.g626b555-alt1.x86_64.rpm.hasher	2023-02-12 09:46:58.915950048 +0000
@@ -1,4 +1,4 @@
-/usr/lib/debug/.build-id/21	40755	root:root	
-/usr/lib/debug/.build-id/21/c6633c0ad3beed6284d87d02ab4d89837e0219	120777	root:root	../../../../bin/yosys
-/usr/lib/debug/.build-id/21/c6633c0ad3beed6284d87d02ab4d89837e0219.debug	120777	root:root	../../usr/bin/yosys.debug
+/usr/lib/debug/.build-id/71	40755	root:root	
+/usr/lib/debug/.build-id/71/18f8e50dd98852ee07611af8241944bbbd753c	120777	root:root	../../../../bin/yosys
+/usr/lib/debug/.build-id/71/18f8e50dd98852ee07611af8241944bbbd753c.debug	120777	root:root	../../usr/bin/yosys.debug
 /usr/lib/debug/usr/bin/yosys.debug	100644	root:root	
@@ -17,6 +17,6 @@
 Provides: yosys-debuginfo = 0.7.0.0.826.g626b555-alt1:sisyphus+227564.1700.1.2
-File: /usr/lib/debug/.build-id/21	40755	root:root		
-File: /usr/lib/debug/.build-id/21/c6633c0ad3beed6284d87d02ab4d89837e0219	120777	root:root		../../../../bin/yosys
-File: /usr/lib/debug/.build-id/21/c6633c0ad3beed6284d87d02ab4d89837e0219.debug	120777	root:root		../../usr/bin/yosys.debug
-File: /usr/lib/debug/usr/bin/yosys.debug	100644	root:root	48e1f52b9b2638216c74724c0192e8fb	
-RPMIdentity: 793e23e0d446a9ab29a46c5bbd016c0e320561d9bd842062b8ff1f31792504cd8aec80021c5712714d483e237dc60e58d0b37ae3e1954f88ac6ab9fd732abf2b
+File: /usr/lib/debug/.build-id/71	40755	root:root		
+File: /usr/lib/debug/.build-id/71/18f8e50dd98852ee07611af8241944bbbd753c	120777	root:root		../../../../bin/yosys
+File: /usr/lib/debug/.build-id/71/18f8e50dd98852ee07611af8241944bbbd753c.debug	120777	root:root		../../usr/bin/yosys.debug
+File: /usr/lib/debug/usr/bin/yosys.debug	100644	root:root	50494b115868ce36997e5d5389550aa6	
+RPMIdentity: 075c355056888edcd171a6da4a8bb42e3b3ee0427d149686af2ff8a5d5db90173b88418dfa3b17f952efdbc93e61ee46892604ffe04a53f0bf3b25b9e33c6ccc