<86>Aug 22 13:46:39 userdel[2960234]: delete user 'rooter' <86>Aug 22 13:46:39 groupadd[2960290]: group added to /etc/group: name=rooter, GID=618 <86>Aug 22 13:46:39 groupadd[2960290]: group added to /etc/gshadow: name=rooter <86>Aug 22 13:46:39 groupadd[2960290]: new group: name=rooter, GID=618 <86>Aug 22 13:46:39 useradd[2960317]: new user: name=rooter, UID=618, GID=618, home=/root, shell=/bin/bash <86>Aug 22 13:46:39 userdel[2960345]: delete user 'builder' <86>Aug 22 13:46:39 groupadd[2960506]: group added to /etc/group: name=builder, GID=619 <86>Aug 22 13:46:39 groupadd[2960506]: group added to /etc/gshadow: name=builder <86>Aug 22 13:46:39 groupadd[2960506]: new group: name=builder, GID=619 <86>Aug 22 13:46:39 useradd[2960524]: new user: name=builder, UID=619, GID=619, home=/usr/src, shell=/bin/bash <13>Aug 22 13:46:47 rpmi: libpng16-1.6.36-alt1 sisyphus+219478.100.1.1 1547633315 installed <13>Aug 22 13:46:47 rpmi: libexpat-2.2.10-alt1 p9+261554.100.1.1 1605103332 installed <13>Aug 22 13:46:47 rpmi: libjpeg-2:2.0.2-alt1 sisyphus+226996.100.1.1 1554902884 installed <13>Aug 22 13:46:47 rpmi: perl-Try-Tiny-0.30-alt1 1514318058 installed <13>Aug 22 13:46:47 rpmi: perl-libnet-1:3.11-alt1 1511423541 installed <13>Aug 22 13:46:47 rpmi: perl-Module-Runtime-0.016-alt1 1509549848 installed <13>Aug 22 13:46:47 rpmi: perl-HTTP-Date-6.02-alt1 1348645274 installed <13>Aug 22 13:46:47 rpmi: perl-Term-ANSIColor-4.06-alt1 1479407083 installed <13>Aug 22 13:46:47 rpmi: libgdbm-1.8.3-alt10 1454943313 installed <13>Aug 22 13:46:47 rpmi: libICE-1.0.9-alt1 1409902719 installed <13>Aug 22 13:46:47 rpmi: libpaper-1.1.26-alt1 sisyphus+221360.100.1.1 1549974198 installed <13>Aug 22 13:46:47 rpmi: libidn2-2.2.0-alt1 p9+229971.100.2.1 1558696275 installed <13>Aug 22 13:46:47 rpmi: psutils-2:1.23-alt2 sisyphus+226711.100.1.1 1554520810 installed <13>Aug 22 13:46:47 rpmi: libSM-1.2.3-alt1 sisyphus+226734.100.2.1 1554586157 installed <13>Aug 22 13:46:47 rpmi: perl-Dist-CheckConflicts-0.11-alt1 1397076608 installed <13>Aug 22 13:46:47 rpmi: perl-Module-Implementation-0.09-alt1 1418836057 installed <13>Aug 22 13:46:47 rpmi: libnspr-1:4.28-alt1 p9+254920.20.18.1 1601209852 installed <13>Aug 22 13:46:47 rpmi: libpixman-3:0.38.4-alt1 sisyphus+228006.100.1.1 1556013486 installed <13>Aug 22 13:46:48 rpmi: libglvnd-7:1.3.2-alt0.p9 p9+265957.240.8.1 1617618104 installed <13>Aug 22 13:46:48 rpmi: libwayland-server-1.18.0-alt1 p9+260591.100.12.1 1606212847 installed <13>Aug 22 13:46:48 rpmi: libtcl-8.6.9-alt1 sisyphus+227145.100.1.2 1555606523 installed <13>Aug 22 13:46:48 rpmi: libsqlite3-3.33.0-alt1 p9+258834.40.2.1 1601364885 installed <13>Aug 22 13:46:48 rpmi: python-module-sphinxcontrib-2.1.1-alt2.1.2 sisyphus+227529.1100.1.2 1555924423 installed <13>Aug 22 13:46:48 rpmi: libopenblas-0.3.9-alt2 p9+274919.40.2.1 1624305203 installed <13>Aug 22 13:46:48 rpmi: perl-IO-stringy-2.111-alt1 1432302202 installed <13>Aug 22 13:46:48 rpmi: perl-Devel-StackTrace-1:2.03-alt1 1511420922 installed <13>Aug 22 13:46:48 rpmi: perl-Sub-Exporter-Progressive-0.001013-alt1 1479395404 installed <13>Aug 22 13:46:48 rpmi: perl-Unicode-Normalize-1:5.28.3-alt1 p9+261964.100.3.1 1606316185 installed <13>Aug 22 13:46:48 rpmi: perl-File-Which-1.23-alt1 sisyphus.218871.100 1546337313 installed <13>Aug 22 13:46:48 rpmi: perl-Tie-RefHash-1.39-alt1 1316492563 installed <13>Aug 22 13:46:48 rpmi: perl-TimeDate-2.32-alt1 p9+252601.500.11.1 1592659283 installed <13>Aug 22 13:46:48 rpmi: perl-IO-Socket-IP-0.39-alt1 1494508514 installed <13>Aug 22 13:46:48 rpmi: perl-LWP-MediaTypes-6.04-alt1 sisyphus+225468.100.1.1 1553186684 installed <13>Aug 22 13:46:48 rpmi: perl-Compress-Raw-Zlib-2.086-alt1 sisyphus+226395.100.1.2 1554269783 installed <13>Aug 22 13:46:48 rpmi: perl-HTML-Tagset-3.20-alt2 1317725093 installed <13>Aug 22 13:46:48 rpmi: libwebp7-1.0.3-alt1 p9+234901.200.1.1 1563552213 installed <13>Aug 22 13:46:48 rpmi: libjbig-2.1-alt1 1401380921 installed <13>Aug 22 13:46:48 rpmi: libtiff5-4.0.10.0.57.f9fc01c3-alt1 sisyphus+226958.100.1.1 1554850937 installed <13>Aug 22 13:46:48 rpmi: libopenjpeg2.0-2.3.1-alt1 sisyphus+226454.100.1.1 1554284336 installed <13>Aug 22 13:46:48 rpmi: libnettle6-3.4.1-alt1 sisyphus+226533.100.2.1 1554512181 installed <13>Aug 22 13:46:48 rpmi: libp11-kit-0.23.15-alt2 p9+254920.2400.19.1 1601385869 installed <13>Aug 22 13:46:48 rpmi: libtasn1-4.14-alt1 p9+235792.100.2.1 1565425233 installed <13>Aug 22 13:46:48 rpmi: libhogweed4-3.4.1-alt1 sisyphus+226533.100.2.1 1554512181 installed <13>Aug 22 13:46:49 rpmi: libgnutls30-3.6.16-alt1 p9+273087.100.1.1 1622486710 installed <13>Aug 22 13:46:49 rpmi: perl-autodie-2.29-alt1 1445013559 installed <13>Aug 22 13:46:49 rpmi: perl-File-HomeDir-1.004-alt1 1525450444 installed <13>Aug 22 13:46:49 rpmi: perl-unicore-1:5.28.3-alt1 p9+261964.100.3.1 1606316185 installed <13>Aug 22 13:46:49 rpmi: perl-Net-IDN-Encode-2.500-alt1 sisyphus+221481.100.1.1 1550127189 installed <13>Aug 22 13:46:49 rpmi: perl-Devel-GlobalDestruction-1:0.14-alt1 1479389769 installed <13>Aug 22 13:46:49 rpmi: perl-OLE-Storage_Lite-0.20-alt1 p9+252601.400.11.1 1592659257 installed <13>Aug 22 13:46:49 rpmi: tcl-8.6.9-alt1 sisyphus+227145.100.1.2 1555606523 installed <13>Aug 22 13:46:49 rpmi: libgdbm-devel-1.8.3-alt10 1454943313 installed <13>Aug 22 13:46:49 rpmi: perl-Data-Dump-1.23-alt1 1444601978 installed <13>Aug 22 13:46:49 rpmi: perl-File-Listing-6.04-alt1 1329758996 installed <13>Aug 22 13:46:49 rpmi: libjasper-2.0.22-alt1 p9+259398.100.5.1 1602695042 installed <13>Aug 22 13:46:49 rpmi: libkpathsea6-2019-alt1_2 p9+238369.100.3.1 1569961493 installed <13>Aug 22 13:46:49 rpmi: libptexenc1-2019-alt1_2 p9+238369.100.3.1 1569961493 installed <13>Aug 22 13:46:49 rpmi: libsynctex2-2019-alt1_2 p9+238369.100.3.1 1569961493 installed <13>Aug 22 13:46:49 rpmi: libtexlua5-2019-alt1_2 p9+238369.100.3.1 1569961493 installed <13>Aug 22 13:46:49 rpmi: zziplib-0.13.69-alt3 p9+240930.100.1.1 1574080033 installed <13>Aug 22 13:46:49 rpmi: liblcms2-2.9-alt1 1516411888 installed <13>Aug 22 13:46:49 rpmi: publicsuffix-list-dafsa-20210726-alt1 p9+281140.100.2.1 1627515769 installed <13>Aug 22 13:46:49 rpmi: libpsl-0.21.1-alt1 p9+255207.100.1.1 1595355041 installed <13>Aug 22 13:46:49 rpmi: libnghttp2-1.41.0-alt1 p9+256449.100.1.1 1597829543 installed <13>Aug 22 13:46:49 rpmi: libbrotlicommon-1.0.7-alt1 sisyphus+226738.100.2.1 1554554565 installed <13>Aug 22 13:46:49 rpmi: libbrotlidec-1.0.7-alt1 sisyphus+226738.100.2.1 1554554565 installed <13>Aug 22 13:46:49 rpmi: poppler-data-0.4.9-alt1 sisyphus.216033.100 1541141723 installed <13>Aug 22 13:46:50 rpmi: libicu64-1:6.4.2-alt3 p9+270873.100.1.1 1619612690 installed <13>Aug 22 13:46:50 rpmi: libxshmfence-1.3-alt1 sisyphus+223149.1000.2.1 1551268594 installed <13>Aug 22 13:46:50 rpmi: libwayland-client-1.18.0-alt1 p9+260591.100.12.1 1606212847 installed <13>Aug 22 13:46:50 rpmi: libpciaccess-1:0.14-alt1 1528969624 installed <13>Aug 22 13:46:50 rpmi: libdrm-1:2.4.105-alt2 p9+271012.100.1.1 1619786664 installed <13>Aug 22 13:46:50 rpmi: libgbm-4:21.0.3-alt1 p9+271012.200.3.1 1621244963 installed <13>Aug 22 13:46:50 rpmi: tex-common-0.2-alt4 1244804096 installed <13>Aug 22 13:46:50 rpmi: t1utils-1.41-alt1 1502978415 installed <13>Aug 22 13:46:50 rpmi: libqqwing-1.3.4-alt2 1436192940 installed <13>Aug 22 13:46:50 rpmi: qqwing-1.3.4-alt2 1436192940 installed <13>Aug 22 13:46:50 rpmi: python-module-iniconfig-1.0.0-alt1 sisyphus+227494.1100.1.3 1555839106 installed <13>Aug 22 13:46:50 rpmi: python-module-apipkg-1.5-alt1 1531926894 installed <13>Aug 22 13:46:50 rpmi: python-module-imagesize-1.1.0-alt1 sisyphus+229015.100.2.1 1557233756 installed <13>Aug 22 13:46:50 rpmi: python-sphinx-objects.inv-1:2.3.8.20190514-alt1 p9+229421.100.1.1 1557927689 installed <13>Aug 22 13:46:50 rpmi: libxapian-1.4.15-alt1 p9+258827.100.1.1 1601305414 installed <13>Aug 22 13:46:50 rpmi: python-module-google-0.4.2-alt2.1 sisyphus+228356.1500.3.1 1556633038 installed <13>Aug 22 13:46:50 rpmi: python-module-markupsafe-1.1.1-alt1 p9+254838.60.14.1 1602882123 installed <13>Aug 22 13:46:50 rpmi: python-module-enum34-1.1.6-alt3 1527251693 installed <13>Aug 22 13:46:50 rpmi: libnumpy-1:1.15.4-alt5 p9+255957.40.47.1 1599835768 installed <13>Aug 22 13:46:50 rpmi: libxblas-1.0.248-alt1.3 sisyphus+229150.100.1.1 1557388758 installed <13>Aug 22 13:46:50 rpmi: libquadmath0-8.4.1-alt0.p9.1 p9+247559.100.2.1 1585587756 installed <13>Aug 22 13:46:50 rpmi: libgfortran5-8.4.1-alt0.p9.1 p9+247559.100.2.1 1585587756 installed <13>Aug 22 13:46:51 rpmi: liblapack-1:3.8.0-alt3 sisyphus+221521.100.1.1 1550134909 installed <13>Aug 22 13:46:51 rpmi: python-modules-curses-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:46:51 rpmi: libverto-0.3.0-alt1_7 sisyphus+225932.100.1.1 1553994917 installed <13>Aug 22 13:46:51 rpmi: libkeyutils-1.6-alt2 sisyphus+226520.100.2.1 1554512088 installed <13>Aug 22 13:46:51 rpmi: libcom_err-1.44.6-alt1 sisyphus+224154.100.1.1 1552091653 installed <13>Aug 22 13:46:51 rpmi: libdatrie-0.2.9-alt1_6 1511686677 installed <13>Aug 22 13:46:51 rpmi: libthai-0.1.28-alt1_1 sisyphus+226107.100.1.1 1554123079 installed <13>Aug 22 13:46:51 rpmi: libsombok-2.4.0-alt1_8 sisyphus+226104.100.1.1 1554121176 installed <13>Aug 22 13:46:51 rpmi: perl-Text-Unidecode-1.30-alt1 1480505027 installed <13>Aug 22 13:46:51 rpmi: perl-Unicode-Map-0.112-alt7.1 sisyphus+219907.5000.1.1 1548349925 installed <13>Aug 22 13:46:51 rpmi: perl-Digest-Perl-MD5-1.9-alt1 1394057208 installed <13>Aug 22 13:46:51 rpmi: perl-Crypt-RC4-2.02-alt1 1319549646 installed <13>Aug 22 13:46:51 rpmi: perl-Math-Complex-1.59-alt1 1334229876 installed <13>Aug 22 13:46:51 rpmi: perl-XML-RegExp-0.04-alt1 1348526543 installed <13>Aug 22 13:46:51 rpmi: perl-DBI-1.642-alt1.1 sisyphus+219907.3200.1.1 1548345175 installed <13>Aug 22 13:46:51 rpmi: perl-Package-Stash-XS-0.29-alt1.1 sisyphus+219907.3400.1.1 1548345296 installed <13>Aug 22 13:46:51 rpmi: perl-Package-Stash-0.38-alt1 sisyphus.218845.100 1546250118 installed <13>Aug 22 13:46:51 rpmi: perl-Sub-Identify-0.14-alt1.2 sisyphus+219907.3700.1.1 1548345423 installed <13>Aug 22 13:46:51 rpmi: perl-Variable-Magic-0.62-alt1.2 sisyphus+219907.3600.1.1 1548345381 installed <13>Aug 22 13:46:51 rpmi: perl-B-Hooks-EndOfScope-0.24-alt1 1524680298 installed <13>Aug 22 13:46:51 rpmi: perl-namespace-clean-0.27-alt1 1464272543 installed <13>Aug 22 13:46:51 rpmi: perl-namespace-autoclean-0.28-alt1 1444994071 installed <13>Aug 22 13:46:51 rpmi: perl-Sub-Quote-2.006003-alt1 sisyphus+224895.100.1.1 1552434926 installed <13>Aug 22 13:46:51 rpmi: perl-Role-Tiny-2.000006-alt1 1513709006 installed <13>Aug 22 13:46:51 rpmi: perl-MRO-Compat-0.13-alt2 p9+261964.200.3.1 1606316246 installed <13>Aug 22 13:46:51 rpmi: perl-Class-Data-Inheritable-0.08-alt2 1316996762 installed <13>Aug 22 13:46:51 rpmi: perl-Exception-Class-1.44-alt1 1513614520 installed <13>Aug 22 13:46:51 rpmi: perl-PadWalker-2.3-alt1.2 sisyphus+219907.3500.1.1 1548345336 installed <13>Aug 22 13:46:51 rpmi: perl-Devel-Caller-2.06-alt2.2 sisyphus+219907.4000.1.1 1548345464 installed <13>Aug 22 13:46:51 rpmi: perl-Devel-LexAlias-0.05-alt3_17 sisyphus+219907.4100.1.1 1548345510 installed <13>Aug 22 13:46:51 rpmi: perl-Eval-Closure-0.14-alt1 1465147597 installed <13>Aug 22 13:46:51 rpmi: perl-Params-ValidationCompiler-0.30-alt1 1533170707 installed <13>Aug 22 13:46:51 rpmi: perl-Mail-Sendmail-0.80-alt1 1504118031 installed <13>Aug 22 13:46:51 rpmi: perl-Mail-Sender-1:0.903-alt1 1479576662 installed <13>Aug 22 13:46:51 rpmi: perl-Email-Date-Format-1.005-alt1 1413796337 installed <13>Aug 22 13:46:51 rpmi: ppp-common-0.5.1-alt1 1244040597 installed <13>Aug 22 13:46:51 rpmi: sendmail-common-1.7-alt3 1353029717 installed <13>Aug 22 13:46:51 rpmi: perl-Locale-Maketext-Simple-0.21-alt3 1321333616 installed <13>Aug 22 13:46:51 rpmi: perl-IPC-System-Simple-1.25-alt2_1 1388863478 installed <13>Aug 22 13:46:51 rpmi: perl-Term-Cap-1.17-alt1 1445018869 installed <13>Aug 22 13:46:51 rpmi: perl-Pod-Escapes-1.07-alt1 1418767892 installed <13>Aug 22 13:46:51 rpmi: perl-File-Copy-Recursive-0.44-alt1 1525447381 installed <13>Aug 22 13:46:51 rpmi: perl-Digest-SHA1-2.13-alt5.2 sisyphus+219907.4500.1.1 1548349730 installed <13>Aug 22 13:46:51 rpmi: less-530-alt1 sisyphus+226367.200.2.2 1554151813 installed <13>Aug 22 13:46:51 rpmi: perl-Compress-Raw-Bzip2-2.086-alt1 sisyphus+226394.100.1.2 1554269409 installed <13>Aug 22 13:46:51 rpmi: libdb4.7-devel-4.7.25-alt9 1490438456 installed <13>Aug 22 13:46:51 rpmi: perl-devel-1:5.28.3-alt1 p9+261964.100.3.1 1606316185 installed <13>Aug 22 13:46:51 rpmi: perl-Test-Fatal-0.014-alt1 1418768336 installed <13>Aug 22 13:46:51 rpmi: perl-Specio-0.43-alt1 sisyphus.215861.100 1540964587 installed <13>Aug 22 13:46:51 rpmi: hd2u-1.0.3-alt2 1400842666 installed <13>Aug 22 13:46:51 rpmi: perl-Filter-1.59-alt1.1 sisyphus+219907.400.1.1 1548343225 installed <13>Aug 22 13:46:51 rpmi: perl-Encode-3.01-alt1 sisyphus+224923.100.1.1 1552474113 installed <13>Aug 22 13:46:51 rpmi: perl-URI-1.76-alt1 sisyphus+220243.100.1.1 1548863244 installed <13>Aug 22 13:46:51 rpmi: perl-HTML-Parser-3.72-alt1.2 sisyphus+219907.600.1.1 1548343407 installed <13>Aug 22 13:46:51 rpmi: perl-IO-Compress-2.086-alt1 sisyphus+226399.100.2.1 1554270186 installed <13>Aug 22 13:46:51 rpmi: perl-Net-HTTP-6.18-alt1 1526560501 installed <13>Aug 22 13:46:51 rpmi: perl-WWW-RobotRules-6.02-alt1 1329756211 installed <13>Aug 22 13:46:51 rpmi: perl-Encode-Locale-1.05-alt1 1444608613 installed <13>Aug 22 13:46:51 rpmi: perl-IO-HTML-1.001-alt1 1404821752 installed <13>Aug 22 13:46:51 rpmi: perl-HTTP-Message-6.18-alt1 1528288416 installed <13>Aug 22 13:46:51 rpmi: perl-HTTP-Cookies-6.04-alt1 1504116575 installed <13>Aug 22 13:46:51 rpmi: perl-HTTP-Negotiate-6.01-alt1 1329760563 installed <13>Aug 22 13:46:51 rpmi: perl-libwww-6.39-alt1 sisyphus+229237.100.1.1 1557650051 installed <13>Aug 22 13:46:51 rpmi: perl-XML-Parser-2.44-alt2.2 sisyphus+219907.1300.1.1 1548343654 installed <13>Aug 22 13:46:51 rpmi: perl-XML-DOM-1.46-alt1 1474815568 installed <13>Aug 22 13:46:51 rpmi: perl-XML-XPath-1.44-alt1 sisyphus.215541.100 1540451758 installed <13>Aug 22 13:46:51 rpmi: perl-HTML-Form-6.04-alt1 sisyphus+225750.100.1.1 1553678430 installed <13>Aug 22 13:46:51 rpmi: perl-XML-Writer-0.625-alt1 1402417374 installed <13>Aug 22 13:46:51 rpmi: hwinfo-utils-21.23-alt1.1 sisyphus+223919.100.1.1 1551893088 installed <13>Aug 22 13:46:51 rpmi: perl-Pod-Simple-3.35-alt1 1482098090 installed <13>Aug 22 13:46:51 rpmi: perl-Pod-Usage-1.69-alt1 1464274721 installed <13>Aug 22 13:46:51 rpmi: perl-podlators-4.11-alt1 1525935408 installed <13>Aug 22 13:46:51 rpmi: perl-HTML-Tree-5.07-alt1 1506480309 installed <13>Aug 22 13:46:51 rpmi: perl-HTML-Formatter-2.16-alt1 1482105372 installed <13>Aug 22 13:46:51 rpmi: perl-WWW-Mechanize-1.91-alt1 sisyphus+219761.100.1.2 1548132822 installed <13>Aug 22 13:46:51 rpmi: perl-Pod-Parser-1.63-alt1 1447282032 installed <13>Aug 22 13:46:51 rpmi: perl-MIME-Types-2.17-alt1 1517533413 installed <13>Aug 22 13:46:51 rpmi: perl-Text-CSV_XS-1.39-alt1 sisyphus+225184.100.1.2 1552965519 installed <13>Aug 22 13:46:51 rpmi: perl-Spreadsheet-ParseExcel-1:0.65-alt1 1395677487 installed <13>Aug 22 13:46:51 rpmi: perl-MIME-Charset-1.012.2-alt1 1494353584 installed <13>Aug 22 13:46:51 rpmi: perl-Unicode-LineBreak-2019.001-alt1.1 sisyphus+219907.4700.1.1 1548349872 installed <13>Aug 22 13:46:51 rpmi: perl-YAML-Tiny-1.73-alt1 1520430664 installed <13>Aug 22 13:46:51 rpmi: libteckit-2.5.1-alt2.1 1319227424 installed <13>Aug 22 13:46:51 rpmi: libteckit-utils-2.5.1-alt2.1 1319227424 installed <13>Aug 22 13:46:51 rpmi: rgb-1.0.6-alt3 p9+229442.100.1.1 1557941137 installed <13>Aug 22 13:46:51 rpmi: libnetpbm11-10.85.04-alt1 sisyphus+225727.140.8.1 1553672201 installed <13>Aug 22 13:46:51 rpmi: mailx-8.1.2-alt7 1366314831 installed <13>Aug 22 13:46:51 rpmi: sharutils-4.6.3-alt1.1.qa2.1 1449219807 installed <13>Aug 22 13:46:51 rpmi: ncompress-4.2.4.4-alt1 1366160439 installed <13>Aug 22 13:46:51 rpmi: libX11-locales-3:1.7.2-alt1 p9+273972.100.2.1 1623924318 installed <13>Aug 22 13:46:51 rpmi: libXdmcp-1.1.3-alt1 sisyphus+225206.600.1.2 1552949347 installed <13>Aug 22 13:46:51 rpmi: libXau-1.0.9-alt1 sisyphus+223149.200.2.1 1551268156 installed <13>Aug 22 13:46:51 rpmi: libxcb-1.13.1-alt1 sisyphus+226527.100.2.2 1554593799 installed <13>Aug 22 13:46:51 rpmi: libX11-3:1.7.2-alt1 p9+273972.100.2.1 1623924318 installed <13>Aug 22 13:46:51 rpmi: libXext-1.3.4-alt1 sisyphus+225206.700.1.2 1552949422 installed <13>Aug 22 13:46:51 rpmi: libXt-1.1.4-alt1 1369984716 installed <13>Aug 22 13:46:51 rpmi: libXmu-1.1.3-alt1 sisyphus+225206.1200.1.2 1552949629 installed <13>Aug 22 13:46:51 rpmi: libXpm-3.5.12-alt1 sisyphus+223149.400.2.1 1551268300 installed <13>Aug 22 13:46:51 rpmi: libXrender-0.9.10-alt1 p9+261891.200.2.1 1606149106 installed <13>Aug 22 13:46:51 rpmi: libXaw-1.0.12-alt1 1391072621 installed <13>Aug 22 13:46:51 rpmi: libXxf86vm-1.1.4-alt2 1527672159 installed <13>Aug 22 13:46:52 rpmi: netpbm-10.85.04-alt1 sisyphus+225727.140.8.1 1553672201 installed <13>Aug 22 13:46:52 rpmi: libXfixes-5.0.3-alt1 sisyphus+226736.100.2.2 1554614842 installed <13>Aug 22 13:46:52 rpmi: libGLX-mesa-4:21.0.3-alt1 p9+271012.200.3.1 1621244963 installed <13>Aug 22 13:46:52 rpmi: libEGL-mesa-4:21.0.3-alt1 p9+271012.200.3.1 1621244963 installed <13>Aug 22 13:46:52 rpmi: libEGL-7:1.3.2-alt0.p9 p9+265957.240.8.1 1617618104 installed <13>Aug 22 13:46:52 rpmi: libGLX-7:1.3.2-alt0.p9 p9+265957.240.8.1 1617618104 installed <13>Aug 22 13:46:52 rpmi: libGL-7:1.3.2-alt0.p9 p9+265957.240.8.1 1617618104 installed <13>Aug 22 13:46:52 rpmi: liblz4-1:1.9.2-alt1 p9+238696.500.1.1 1570228072 installed <13>Aug 22 13:46:52 rpmi: libgpg-error-1.36-alt1 p9+261942.140.4.1 1606237682 installed <13>Aug 22 13:46:52 rpmi: libgcrypt20-1.8.5-alt3 p9+261942.200.4.1 1606237844 installed <13>Aug 22 13:46:52 rpmi: libsystemd-1:246.16-alt1 p9+283283.200.2.1 1629305068 installed <13>Aug 22 13:46:52 rpmi: libdbus-1.12.16-alt2 p9+240607.100.1.1 1573553670 installed <13>Aug 22 13:46:52 rpmi: libavahi-0.8-alt2 p9+270905.100.1.1 1619639855 installed <13>Aug 22 13:46:52 rpmi: libcups-2.3.3-alt6.op2 p9+272723.300.4.1 1624275834 installed <13>Aug 22 13:46:52 rpmi: libxslt-1.1.34-alt1.p9.1 p9+261811.300.3.1 1605513910 installed <13>Aug 22 13:46:52 rpmi: libgraphite2-1.3.13-alt2 sisyphus+226799.100.1.1 1554648233 installed <13>Aug 22 13:46:52 rpmi: libharfbuzz-2.6.2-alt1 p9+277458.500.1.1 1625577087 installed <13>Aug 22 13:46:52 rpmi: libfreetype-2.10.1-alt1.1.p9.1 p9+260179.200.3.1 1603971391 installed <13>Aug 22 13:46:52 rpmi: fontconfig-2.13.1-alt1 p9+247340.200.3.1 1583400482 installed Updating fonts cache: <29>Aug 22 13:46:53 fontconfig: Updating fonts cache: succeeded [ DONE ] <13>Aug 22 13:46:53 rpmi: libXft-2.3.3-alt1 sisyphus+225206.1000.3.2 1552987714 installed <13>Aug 22 13:46:53 rpmi: perl-Tk-804.034-alt1.2 sisyphus+219907.4300.1.1 1548345831 installed <13>Aug 22 13:46:53 rpmi: libtk-8.6.9-alt1 sisyphus+227145.200.1.2 1555606663 installed <13>Aug 22 13:46:53 rpmi: tk-8.6.9-alt1 sisyphus+227145.200.1.2 1555606663 installed <13>Aug 22 13:46:53 rpmi: fonts-type1-urw-3:1.0.7pre44-alt3 sisyphus+224082.100.2.1 1552406640 installed <13>Aug 22 13:46:55 rpmi: libgs-9.27-alt1.M90P.1 p9+258832.300.4.1 1601632175 installed <13>Aug 22 13:46:56 rpmi: ghostscript-common-9.27-alt1.M90P.1 p9+258832.300.4.1 1601632175 installed <13>Aug 22 13:46:56 rpmi: ghostscript-classic-9.27-alt1.M90P.1 p9+258832.300.4.1 1601632175 installed <13>Aug 22 13:46:56 rpmi: ghostscript-module-X-9.27-alt1.M90P.1 p9+258832.300.4.1 1601632175 installed <13>Aug 22 13:46:56 rpmi: libcairo-1:1.16.0-alt1 sisyphus+226534.100.2.3 1554515520 installed <13>Aug 22 13:46:56 rpmi: libgd3-2.2.5-alt3 sisyphus+226800.200.2.1 1554649314 installed <13>Aug 22 13:46:56 rpmi: ttf2pt1-3.4.4-alt1.qa1 1366267504 installed <13>Aug 22 13:46:56 rpmi: gcc-c++-common-1.4.23-alt1 sisyphus+221902.2500.4.1 1550596716 installed <13>Aug 22 13:46:57 rpmi: libstdc++8-devel-8.4.1-alt0.p9.1 p9+247559.100.2.1 1585587756 installed <13>Aug 22 13:46:58 rpmi: gcc8-c++-8.4.1-alt0.p9.1 p9+247559.100.2.1 1585587756 installed <13>Aug 22 13:46:58 rpmi: ed-1:0.2-alt10 1449768441 installed <13>Aug 22 13:46:58 rpmi: libdialog-1.3.20171209-alt1 1529583087 installed <13>Aug 22 13:46:58 rpmi: dialog-1.3.20171209-alt1 1529583087 installed <13>Aug 22 13:46:58 rpmi: libruby-2.5.9-alt1 p9+261867.500.5.1 1606313941 installed <13>Aug 22 13:46:58 rpmi: libyaml2-0.2.2-alt1 sisyphus+229134.100.1.1 1557342720 installed <13>Aug 22 13:46:58 rpmi: rpm-macros-alternatives-0.5.1-alt1 sisyphus+226946.100.1.1 1554830426 installed <13>Aug 22 13:46:58 rpmi: alternatives-0.5.1-alt1 sisyphus+226946.100.1.1 1554830426 installed <13>Aug 22 13:46:58 rpmi: ca-certificates-2020.06.29-alt1 p9+258899.100.3.1 1601998604 installed <13>Aug 22 13:46:58 rpmi: ca-trust-0.1.2-alt1 p9+233349.100.1.1 1561655062 installed <13>Aug 22 13:46:58 rpmi: p11-kit-trust-0.23.15-alt2 p9+254920.2400.19.1 1601385869 installed <13>Aug 22 13:46:58 rpmi: libcrypto1.1-1.1.1k-alt1 p9+268376.100.3.1 1616720932 installed <13>Aug 22 13:46:58 rpmi: libssl1.1-1.1.1k-alt1 p9+268376.100.3.1 1616720932 installed <86>Aug 22 13:46:59 groupadd[3007379]: group added to /etc/group: name=_keytab, GID=499 <86>Aug 22 13:46:59 groupadd[3007379]: group added to /etc/gshadow: name=_keytab <86>Aug 22 13:46:59 groupadd[3007379]: new group: name=_keytab, GID=499 <13>Aug 22 13:46:59 rpmi: libkrb5-1.17.2-alt2 p9+280683.100.2.1 1627489159 installed <13>Aug 22 13:46:59 rpmi: libpython3-3.7.4-alt3 p9+249932.100.2.1 1587126956 installed <13>Aug 22 13:46:59 rpmi: python3-3.7.4-alt3 p9+249932.100.2.1 1587126956 installed <13>Aug 22 13:47:00 rpmi: python3-base-3.7.4-alt3 p9+249932.100.2.1 1587126956 installed <13>Aug 22 13:47:00 rpmi: libtirpc-1.0.3-alt1 1532008015 installed <13>Aug 22 13:47:00 rpmi: libnsl2-1.1.0-alt1_1 1511548748 installed <13>Aug 22 13:47:00 rpmi: python-modules-encodings-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:00 rpmi: python-modules-compiler-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:00 rpmi: python-modules-email-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:00 rpmi: python-modules-unittest-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:00 rpmi: python-modules-nis-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-modules-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-modules-xml-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-modules-ctypes-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-module-six-1.12.0-alt1 sisyphus+219665.100.2.1 1548148570 installed <13>Aug 22 13:47:01 rpmi: python-module-pkg_resources-1:40.8.0-alt2 sisyphus+229158.200.2.1 1557735221 installed <13>Aug 22 13:47:01 rpmi: python-modules-multiprocessing-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-modules-logging-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-modules-json-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-module-jinja2-2.11.2-alt1 p9+254838.40.14.1 1602882082 installed <13>Aug 22 13:47:01 rpmi: python-modules-hotshot-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-module-webencodings-0.5.1-alt1.1 1517943573 installed <13>Aug 22 13:47:01 rpmi: python-module-chardet-3.0.4-alt1 sisyphus+227476.1700.1.2 1555756717 installed <13>Aug 22 13:47:01 rpmi: python-tools-2to3-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-module-future-0.16.0-alt2 sisyphus+228356.200.3.3 1556645013 installed <13>Aug 22 13:47:01 rpmi: python-module-pyglet-1.3.0-alt4.a1.hg20150730.1.1.1 1460413686 installed <13>Aug 22 13:47:01 rpmi: python-module-whoosh-2.7.4-alt1 1527697941 installed <13>Aug 22 13:47:01 rpmi: python-modules-bsddb-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-strict-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-modules-distutils-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:01 rpmi: python-module-numpy-1:1.15.4-alt5 p9+255957.40.47.1 1599835768 installed <13>Aug 22 13:47:01 rpmi: python-module-cssselect-0.9.1-alt1.2 sisyphus+227479.1100.1.2 1555757152 installed <13>Aug 22 13:47:01 rpmi: python-module-html5lib-1:0.999999999-alt4.qa1 sisyphus+227493.600.1.3 1555835341 installed <13>Aug 22 13:47:02 rpmi: python-module-lxml-4.4.2-alt1 p9+244111.100.1.1 1578758711 installed <13>Aug 22 13:47:02 rpmi: python-module-docutils-0.14-alt2 1535729778 installed <13>Aug 22 13:47:02 rpmi: python-module-idna-2.8-alt1 sisyphus+227494.400.1.3 1555838720 installed <13>Aug 22 13:47:02 rpmi: python-module-pycparser-2.19-alt1 sisyphus+228366.1000.2.3 1556706938 installed <13>Aug 22 13:47:02 rpmi: python-module-cffi-1.12.3-alt1 sisyphus+229040.200.3.1 1557316502 installed <13>Aug 22 13:47:02 rpmi: python-module-asn1crypto-0.24.0-alt1 sisyphus+227473.1300.1.2 1555756512 installed <13>Aug 22 13:47:02 rpmi: python-module-ntlm-1.1.0-alt1.2 sisyphus+228512.100.1.1 1556654575 installed <13>Aug 22 13:47:02 rpmi: python-module-pytz-1:2016.10-alt1 1484291011 installed <13>Aug 22 13:47:02 rpmi: python-module-babel-1:2.6.0-alt1 sisyphus+228351.2400.6.2 1556652168 installed <13>Aug 22 13:47:02 rpmi: python-module-PyStemmer-1.0.1-alt1.2.1 1321387369 installed <13>Aug 22 13:47:02 rpmi: python-module-snowballstemmer-1.2.0-alt2.1 1457859319 installed <13>Aug 22 13:47:02 rpmi: python-module-simplejson-3.15.0-alt1.qa1 sisyphus+225625.16100.91.1 1555293606 installed <13>Aug 22 13:47:02 rpmi: python-module-ipaddress-1.0.18-alt1.1 sisyphus+227494.1700.1.3 1555839523 installed <13>Aug 22 13:47:03 rpmi: python-module-cryptography-2.6.1-alt1 sisyphus+225625.24400.91.1 1555299604 installed <13>Aug 22 13:47:03 rpmi: python-module-OpenSSL-18.0.0-alt1 1532996168 installed <13>Aug 22 13:47:03 rpmi: python-module-ndg-0.4.2-alt1.qa1 sisyphus+227504.1300.1.2 1555853074 installed <13>Aug 22 13:47:03 rpmi: python-module-ndg-httpsclient-0.4.2-alt1.qa1 sisyphus+227504.1300.1.2 1555853074 installed <13>Aug 22 13:47:03 rpmi: python-module-backports-3.5.0.1-alt1.1.1 1517645428 installed <13>Aug 22 13:47:03 rpmi: python-module-backports.ssl_match_hostname-3.5.0.1-alt1.1.1 1517645428 installed <13>Aug 22 13:47:03 rpmi: python-module-urllib3-2:1.25.6-alt1 p9+250567.200.5.1 1590420860 installed <13>Aug 22 13:47:03 rpmi: python-module-requests-2.23.0-alt1 p9+250567.400.5.1 1590420890 installed <13>Aug 22 13:47:03 rpmi: python-module-typing-3.6.6-alt2 sisyphus+220108.100.1.1 1548749697 installed <13>Aug 22 13:47:03 rpmi: python-modules-sqlite3-2.7.16-alt1.M90P.2 p9+260393.40.3.1 1604003646 installed <13>Aug 22 13:47:03 rpmi: python-module-SQLAlchemy-1.2.15-alt1 sisyphus+225625.4500.91.1 1555285684 installed <13>Aug 22 13:47:03 rpmi: python-module-py-1.8.0-alt2 sisyphus+228349.1400.4.1 1556631070 installed <13>Aug 22 13:47:03 rpmi: python-module-mpmath-0.19-alt1.git20150621.1.1.1.1 sisyphus+227503.2100.1.3 1555860908 installed <13>Aug 22 13:47:03 rpmi: python-module-sympy-1:1.1.1-alt1.1.1 sisyphus+228334.100.1.1 1556542452 installed <13>Aug 22 13:47:03 rpmi: python-module-sympy-tests-1:1.1.1-alt1.1.1 sisyphus+228334.100.1.1 1556542452 installed <13>Aug 22 13:47:04 rpmi: python-module-xapian-1.4.15-alt1 p9+258827.200.2.1 1601308059 installed <13>Aug 22 13:47:04 rpmi: python-module-sphinxcontrib-websupport-1.0.1-alt5 p9+247680.340.15.1 1585331199 installed <13>Aug 22 13:47:04 rpmi: python-module-alabaster-0.7.6-alt3 sisyphus+228351.700.4.1 1556637370 installed <13>Aug 22 13:47:04 rpmi: python-module-Pygments-2.4.2-alt3 p9+263160.400.4.1 1607939146 installed <13>Aug 22 13:47:04 rpmi: python-module-sphinx-1:1.6.5-alt8 p9+250806.100.2.1 1588178467 installed <86>Aug 22 13:47:04 groupadd[3014097]: group added to /etc/group: name=sasl, GID=498 <86>Aug 22 13:47:04 groupadd[3014097]: group added to /etc/gshadow: name=sasl <86>Aug 22 13:47:04 groupadd[3014097]: new group: name=sasl, GID=498 <13>Aug 22 13:47:04 rpmi: libsasl2-3-2.1.27-alt2.1 p9+256192.100.1.1 1597226707 installed <13>Aug 22 13:47:04 rpmi: libldap-2.4.57-alt0.M90P.1 p9+266288.100.1.1 1613244703 installed <13>Aug 22 13:47:04 rpmi: libcurl-7.77.0-alt1 p9+272617.100.1.1 1622106820 installed <13>Aug 22 13:47:04 rpmi: openssl-1.1.1k-alt1 p9+268376.100.3.1 1616720932 installed <13>Aug 22 13:47:04 rpmi: ruby-rubygems-update-3.0.4-alt1 p9+247371.35300.135.1 1590436206 installed <13>Aug 22 13:47:04 rpmi: gem-did-you-mean-1.3.0-alt2.1 p9+247371.40400.161.1 1591376055 installed <13>Aug 22 13:47:04 rpmi: gem-minitest-5.14.0-alt1.1 p9+247371.67710.170.1 1592235999 installed <13>Aug 22 13:47:04 rpmi: ruby-net-telnet-0.2.0-alt1 sisyphus+219345.2700.8.1 1547631566 installed <13>Aug 22 13:47:04 rpmi: gem-rake-13.0.1-alt1 p9+247371.77140.170.1 1592237858 installed <13>Aug 22 13:47:04 rpmi: ruby-xmlrpc-0.3.0-alt1 sisyphus+219345.3300.8.1 1547631818 installed <13>Aug 22 13:47:04 rpmi: gem-2.5.9-alt1 p9+261867.500.5.1 1606313941 installed <13>Aug 22 13:47:04 rpmi: ri-6.1.1-alt3 sisyphus+220149.7500.44.1 1552167568 installed <13>Aug 22 13:47:04 rpmi: rdoc-6.1.1-alt3 sisyphus+220149.7500.44.1 1552167568 installed <13>Aug 22 13:47:04 rpmi: ruby-rdoc-6.1.1-alt3 sisyphus+220149.7500.44.1 1552167568 installed <13>Aug 22 13:47:04 rpmi: rake-13.0.1-alt1 p9+247371.77140.170.1 1592237858 installed <13>Aug 22 13:47:04 rpmi: erb-2.5.9-alt1 p9+261867.500.5.1 1606313941 installed <13>Aug 22 13:47:04 rpmi: irb-2.5.9-alt1 p9+261867.500.5.1 1606313941 installed <13>Aug 22 13:47:05 rpmi: gem-bundler-2.1.4-alt1 p9+247371.33100.135.1 1590435793 installed <13>Aug 22 13:47:05 rpmi: gem-test-unit-3.3.5-alt0.1 p9+247371.60440.162.1 1591424158 installed <13>Aug 22 13:47:05 rpmi: gem-power-assert-1.1.7-alt1 p9+247371.60200.162.1 1591424056 installed <13>Aug 22 13:47:06 rpmi: ruby-stdlibs-2.5.9-alt1 p9+261867.500.5.1 1606313941 installed <13>Aug 22 13:47:06 rpmi: bundle-2.1.4-alt1 p9+247371.33100.135.1 1590435793 installed <13>Aug 22 13:47:06 rpmi: ruby-2.5.9-alt1 p9+261867.500.5.1 1606313941 installed <13>Aug 22 13:47:06 rpmi: perl-Net-SSLeay-1.86_09-alt2 sisyphus+227568.100.1.1 1555676534 installed <13>Aug 22 13:47:06 rpmi: perl-IO-Socket-SSL-2.066-alt1 sisyphus+223890.100.1.1 1551873760 installed <13>Aug 22 13:47:06 rpmi: perl-Net-HTTPS-6.18-alt1 1526560501 installed <13>Aug 22 13:47:06 rpmi: perl-LWP-Protocol-https-6.07-alt1 1494527383 installed <13>Aug 22 13:47:06 rpmi: perl-Net-SMTP-SSL-1.04-alt1 1479470835 installed <13>Aug 22 13:47:06 rpmi: perl-MailTools-2.20-alt1 1517533205 installed <13>Aug 22 13:47:06 rpmi: perl-MIME-Lite-3.030-alt1 1383865152 installed <13>Aug 22 13:47:06 rpmi: perl-Log-Dispatch-2.68-alt2 sisyphus.218872.100 1546338428 installed <13>Aug 22 13:47:06 rpmi: perl-Log-Log4perl-1.49-alt1 1489613295 installed <13>Aug 22 13:47:06 rpmi: gambit-4.9.3-alt1 sisyphus+220998.100.1.4 1549742897 installed <13>Aug 22 13:47:06 rpmi: foomatic-db-engine-4.0.12-alt1 1449527980 installed <13>Aug 22 13:47:06 rpmi: libnss-3.56.0-alt1 p9+254920.30.20.1 1601657858 installed <13>Aug 22 13:47:06 rpmi: libpoppler90-0.80.0-alt1 p9+237607.100.1.1 1568643072 installed <13>Aug 22 13:47:06 rpmi: ghostscript-utils-9.27-alt1.M90P.1 p9+258832.300.4.1 1601632175 installed <13>Aug 22 13:47:07 rpmi: texlive-2019-alt1_2 p9+238369.100.3.1 1569961493 installed <13>Aug 22 13:47:19 rpmi: texlive-collection-basic-2018-alt1_5 sisyphus+226263.100.1.1 1554169804 installed <13>Aug 22 13:47:19 rpmi: gcc-c++-8-alt2 p9+246536.100.3.1 1582643803 installed <13>Aug 22 13:47:19 rpmi: perl-Pod-LaTeX-0.61-alt2 1530298363 installed <13>Aug 22 13:47:19 rpmi: flex-2.6.4.0.88.9801-alt1 sisyphus+221902.2300.4.1 1550596258 installed Building target platforms: x86_64 Building for target x86_64 Wrote: /usr/src/in/nosrpm/verilator-3.924-alt1.nosrc.rpm (w1.gzdio) Installing verilator-3.924-alt1.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.92073 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf verilator-3.924 + echo 'Source #0 (verilator-3.924.tar):' Source #0 (verilator-3.924.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/verilator-3.924.tar + cd verilator-3.924 + /bin/chmod -c -Rf u+rwX,go-w . + echo 'Patch #0 (pkg-config-version-fix.patch):' Patch #0 (pkg-config-version-fix.patch): + /usr/bin/patch -p1 patching file Makefile.in patching file configure.ac + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.92073 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-3.924 + autoconf + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CFLAGS + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CXXFLAGS + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FFLAGS + FCFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FCFLAGS + '[' -n '' ']' ++ printf %s '-pipe -frecord-gcc-switches -Wall -g -O2' ++ sed -r 's/(^|[[:space:]]+)-[^m][^[:space:]]*//g' + ASFLAGS= + export ASFLAGS + export lt_cv_deplibs_check_method=pass_all + lt_cv_deplibs_check_method=pass_all + readlink -e -- ./configure + xargs -ri find '{}' -type f '(' -name config.sub -or -name config.guess ')' -printf '%h/\n' + xargs -rn1 install -pm755 -- /usr/share/gnu-config/config.sub /usr/share/gnu-config/config.guess + sort -u + xargs -ri dirname -- '{}' + ./configure --build=x86_64-alt-linux --host=x86_64-alt-linux --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/lib --localstatedir=/var/lib --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-dependency-tracking --disable-silent-rules --without-included-gettext configure: WARNING: unrecognized options: --disable-dependency-tracking, --disable-silent-rules, --without-included-gettext configuring for Verilator 3.924 checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for x86_64-alt-linux-gcc... x86_64-alt-linux-gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether x86_64-alt-linux-gcc accepts -g... yes checking for x86_64-alt-linux-gcc option to accept ISO C89... none needed checking for x86_64-alt-linux-g++... x86_64-alt-linux-g++ checking whether we are using the GNU C++ compiler... yes checking whether x86_64-alt-linux-g++ accepts -g... yes checking for a BSD-compatible install... /bin/install -c compiler is x86_64-alt-linux-g++ --version = x86_64-alt-linux-g++ (GCC) 8.4.1 20200305 (ALT p9 8.4.1-alt0.p9.1) checking that C++ compiler can compile simple program... yes checking for perl... /usr/bin/perl checking for flex... /usr/bin/flex checking for bison... /usr/bin/bison checking how to run the C++ preprocessor... x86_64-alt-linux-g++ -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether x86_64-alt-linux-g++ accepts -std=gnu++17... yes checking whether x86_64-alt-linux-g++ accepts -std=std++03... no checking whether x86_64-alt-linux-g++ accepts -std=std++11... no checking whether x86_64-alt-linux-g++ accepts -std=std++14... no checking whether x86_64-alt-linux-g++ accepts -std=std++17... no checking whether x86_64-alt-linux-g++ accepts -std=gnu++03... yes checking whether x86_64-alt-linux-g++ accepts -Wextra... yes checking whether x86_64-alt-linux-g++ accepts -Wfloat-conversion... yes checking whether x86_64-alt-linux-g++ accepts -Wlogical-op... yes checking whether x86_64-alt-linux-g++ accepts -Wthread-safety... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -fno-delete-null-pointer-checks... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-undefined-bool-conversion... no checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ accepts -Wno-char-subscripts... yes checking whether x86_64-alt-linux-g++ accepts -Wno-null-conversion... no checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-unused... yes checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -fbracket-depth=4096... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -Wno-bool-operation... yes checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-sign-compare... yes checking whether x86_64-alt-linux-g++ accepts -Wno-uninitialized... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-but-set-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ supports Verilated threads... yes checking for struct stat.st_mtim.tv_nsec... yes configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating src/config_build.h configure: WARNING: unrecognized options: --disable-dependency-tracking, --disable-silent-rules, --without-included-gettext Now type 'make' (or sometimes 'gmake') to build Verilator. + make -j8 all README README.html README.pdf internals.txt internals.html internals.pdf verilator.txt verilator.html verilator.pdf make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' ------------------------------------------------------------ make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' making verilator in src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src' mkdir obj_dbg make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src' /usr/bin/perl ./config_rev.pl . >config_rev.h Can't exec "git": No such file or directory at ./config_rev.pl line 22. Use of uninitialized value $data in pattern match (m//) at ./config_rev.pl line 23. Can't exec "git": No such file or directory at ./config_rev.pl line 27. Use of uninitialized value $data in pattern match (m//) at ./config_rev.pl line 28. Use of uninitialized value $data in pattern match (m//) at ./config_rev.pl line 28. %Warning: No git revision found, at ./config_rev.pl line 36. make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src' mkdir obj_opt make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src' cd obj_dbg && make -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial cd obj_dbg && make TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: warning: -jN forced in submake: disabling jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/perl ../astgen -I.. --classes make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' rm -f README pod2text --loose README.pod > README make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2html README.pod >README.html make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2html internals.pod >internals.html make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/perl ../vlcovgen --srcdir .. touch vlcovgen.d make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' rm -f internals.txt pod2text --loose internals.pod > internals.txt make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' cd obj_opt && make -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: warning: -jN forced in submake: disabling jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' /usr/bin/perl ../astgen -I.. --classes make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2text bin/verilator verilator.txt Wide character in print at /usr/share/perl5/Pod/Text.pm line 303. make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2html bin/verilator >verilator.html Cannot find "verilator_profcfunc" in podpath: cannot find suitable replacement path, cannot resolve link Cannot find "Arguments" in podpath: cannot find suitable replacement path, cannot resolve link Cannot find "verilator_coverage" in podpath: cannot find suitable replacement path, cannot resolve link Cannot find "verilator_profcfunc" in podpath: cannot find suitable replacement path, cannot resolve link Cannot find "make" in podpath: cannot find suitable replacement path, cannot resolve link make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y Unescaped left brace in regex is deprecated here (and will be fatal in Perl 5.32), passed through in regex; marked by <-- HERE in m/BISONPRE_NOT\((\S+)\)\s*({ <-- HERE [^}]+})\s*$/ at ../bisonpre line 328. edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2latex --full --out README.tex README.pod /usr/bin/perl ./src/pod2latexfix "Verilator 3.924 README File" "2018-06-12" < README.tex > README2.tex mv README2.tex README.tex pdflatex README.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./README.tex LaTeX2e <2018-04-01> patch level 2 Babel <3.18> and hyphenation patterns for 84 language(s) loaded. (/usr/share/texmf-dist/tex/latex/base/article.cls Document Class: article 2014/09/29 v1.4h Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo)) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texmf-dist/tex/latex/base/makeidx.sty) Writing index file README.idx (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) No file README.aux. (/usr/share/texmf-dist/tex/latex/base/ts1cmr.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) [1{/usr/share/ texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [1] (/usr/share/texmf-dist/tex/latex/base/t1cmss.fd) Overfull \hbox (55.83742pt too wide) in paragraph at lines 38--40 []\T1/cmr/m/n/10 This pack-age is Copy-right 2003-2018 by Wil-son Sny-der. (Re- port bugs to \T1/cmss/m/n/10 http://www.veripool.org/\T1/cmr/m/n/10 .) [2] (/usr/share/texmf-dist/tex/latex/base/t1cmtt.fd) Overfull \hbox (42.46718pt too wide) in paragraph at lines 139--142 []\T1/cmr/m/n/10 Note Ver-i-la-tor builds the cur-rent value of VER-I-LA-TOR_RO OT, SYS-TEMC_INCLUDE, Overfull \hbox (40.58412pt too wide) in paragraph at lines 167--167 [] \T1/cmtt/m/n/10 # For the tarball, use the version number instead of git describe[] Overfull \hbox (108.81746pt too wide) in paragraph at lines 167--167 [] \T1/cmtt/m/n/10 ./configure --prefix /CAD_DISK/verilator/`git describe | sed "s/verilator_//"`[] Overfull \hbox (24.83797pt too wide) in paragraph at lines 170--170 [] \T1/cmtt/m/n/10 After installing you'll want a module file like the foll owing:[] Overfull \hbox (35.3354pt too wide) in paragraph at lines 177--177 [] \T1/cmtt/m/n/10 set install_root /CAD_DISK/verilator/{version-number-use d-above}[] [3] Overfull \hbox (3.8431pt too wide) in paragraph at lines 177--177 [] \T1/cmtt/m/n/10 prepend-path PKG_CONFIG_PATH $install_root/share/pkgconf ig[] [4] Overfull \hbox (30.32512pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 bin/verilator => Compiler Wrapper invoked t o Verilate code[] Overfull \hbox (51.31999pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 include/ => Files that should be in yo ur -I compiler path[] Overfull \hbox (40.82256pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 include/verilated*.cpp => Global routines to link in to your simulator[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 examples/hello_world_c => Example simple Verilog->C+ + conversion[] Overfull \hbox (35.57384pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 examples/hello_world_sc => Example simple Verilog->Sy stemC conversion[] Overfull \hbox (9.33025pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 examples/tracing_sc => Example Verilog->SystemC w ith tracing[] No file README.ind. [5] (./README.aux) Package rerunfilecheck Warning: File `README.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/cm-super/cm-super-t1.enc} Output written on README.pdf (6 pages, 132723 bytes). Transcript written on README.log. pdflatex README.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./README.tex LaTeX2e <2018-04-01> patch level 2 Babel <3.18> and hyphenation patterns for 84 language(s) loaded. (/usr/share/texmf-dist/tex/latex/base/article.cls Document Class: article 2014/09/29 v1.4h Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo)) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texmf-dist/tex/latex/base/makeidx.sty) Writing index file README.idx (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (./README.aux) (/usr/share/texmf-dist/tex/latex/base/ts1cmr.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./README.out) (./README.out) [1{/usr/share/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] (./README.toc) [1] (/usr/share/texmf-dist/tex/latex/base/t1cmss.fd) Overfull \hbox (55.83742pt too wide) in paragraph at lines 38--40 []\T1/cmr/m/n/10 This pack-age is Copy-right 2003-2018 by Wil-son Sny-der. (Re- port bugs to \T1/cmss/m/n/10 http://www.veripool.org/\T1/cmr/m/n/10 .) [2] (/usr/share/texmf-dist/tex/latex/base/t1cmtt.fd) Overfull \hbox (42.46718pt too wide) in paragraph at lines 139--142 []\T1/cmr/m/n/10 Note Ver-i-la-tor builds the cur-rent value of VER-I-LA-TOR_RO OT, SYS-TEMC_INCLUDE, Overfull \hbox (40.58412pt too wide) in paragraph at lines 167--167 [] \T1/cmtt/m/n/10 # For the tarball, use the version number instead of git describe[] Overfull \hbox (108.81746pt too wide) in paragraph at lines 167--167 [] \T1/cmtt/m/n/10 ./configure --prefix /CAD_DISK/verilator/`git describe | sed "s/verilator_//"`[] Overfull \hbox (24.83797pt too wide) in paragraph at lines 170--170 [] \T1/cmtt/m/n/10 After installing you'll want a module file like the foll owing:[] Overfull \hbox (35.3354pt too wide) in paragraph at lines 177--177 [] \T1/cmtt/m/n/10 set install_root /CAD_DISK/verilator/{version-number-use d-above}[] [3] Overfull \hbox (3.8431pt too wide) in paragraph at lines 177--177 [] \T1/cmtt/m/n/10 prepend-path PKG_CONFIG_PATH $install_root/share/pkgconf ig[] [4] Overfull \hbox (30.32512pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 bin/verilator => Compiler Wrapper invoked t o Verilate code[] Overfull \hbox (51.31999pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 include/ => Files that should be in yo ur -I compiler path[] Overfull \hbox (40.82256pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 include/verilated*.cpp => Global routines to link in to your simulator[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 examples/hello_world_c => Example simple Verilog->C+ + conversion[] Overfull \hbox (35.57384pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 examples/hello_world_sc => Example simple Verilog->Sy stemC conversion[] Overfull \hbox (9.33025pt too wide) in paragraph at lines 276--276 [] \T1/cmtt/m/n/10 examples/tracing_sc => Example Verilog->SystemC w ith tracing[] No file README.ind. [5] (./README.aux) ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/cm-super/cm-super-t1.enc} Output written on README.pdf (6 pages, 150188 bytes). Transcript written on README.log. rm -f README.toc README.aux README.idx README.out make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' Unescaped left brace in regex is deprecated here (and will be fatal in Perl 5.32), passed through in regex; marked by <-- HERE in m/BISONPRE_NOT\((\S+)\)\s*({ <-- HERE [^}]+})\s*$/ at ../bisonpre line 328. edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2latex --full --out internals.tex internals.pod /usr/bin/perl ./src/pod2latexfix "Verilator 3.924 Internals Manual" "2018-06-12" < internals.tex > internals2.tex mv internals2.tex internals.tex pdflatex internals.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./internals.tex LaTeX2e <2018-04-01> patch level 2 Babel <3.18> and hyphenation patterns for 84 language(s) loaded. (/usr/share/texmf-dist/tex/latex/base/article.cls Document Class: article 2014/09/29 v1.4h Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo)) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texmf-dist/tex/latex/base/makeidx.sty) Writing index file internals.idx (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) No file internals.aux. (/usr/share/texmf-dist/tex/latex/base/ts1cmr.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) [1{/usr/share/ texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [1] [2] (/usr/share/texmf-dist/tex/latex/base/t1cmtt.fd) (/usr/share/texmf-dist/tex/latex/base/t1cmss.fd) [3] [4] Overfull \hbox (75.30489pt too wide) in paragraph at lines 304--308 \T1/cmr/m/n/10 ates. Wikipedia pro-vides an in-tro-duc-tion to the con-cept at \T1/cmss/m/n/10 http://en.wikipedia.org/wiki/Visitor_pattern\T1/cmr/m/n/10 . [5] Overfull \hbox (16.41689pt too wide) in paragraph at lines 367--373 []\T1/cmr/m/n/10 A vis-i-tor first clears the one it wants to use by call-ing \ T1/cmtt/m/n/10 AstNode::user#ClearTree()\T1/cmr/m/n/10 , Overfull \hbox (13.3354pt too wide) in paragraph at lines 378--378 [] \T1/cmtt/m/n/10 // AstModule::user1p() // bool. True to inline thi s module[] Overfull \hbox (5.41142pt too wide) in paragraph at lines 381--383 []\T1/cmr/m/n/10 This says that at the \T1/cmtt/m/n/10 AstNetlist user1ClearTre e() \T1/cmr/m/n/10 is called. Each \T1/cmtt/m/n/10 AstModule\T1/cmr/m/n/10 's [6] [7] Overfull \hbox (61.81743pt too wide) in paragraph at lines 467--467 [] \T1/cmtt/m/n/10 nodep->lhsp()->iterateAndNext(...); // and under covers nodep->lhsp() changes[] Overfull \hbox (77.56358pt too wide) in paragraph at lines 480--480 [] \T1/cmtt/m/n/10 lp->iterateAndNext(...); **lhsp=NULL;** // and under cove rs nodep->lhsp() changes[] Overfull \hbox (0.44353pt too wide) in paragraph at lines 506--512 []\T1/cmr/m/n/10 However that method might want to spec-ify ad-di-tional code i f it is called for \T1/cmtt/m/n/10 AstGenIf\T1/cmr/m/n/10 . [8] Overfull \hbox (58.55788pt too wide) in paragraph at lines 556--558 []\T1/cmr/m/n/10 * vcd-diff to find dif-fer-ences in VCD out-puts. See the read me at https://github.com/veripool/vcddiff [9] [10] Overfull \hbox (13.54832pt too wide) in paragraph at lines 679--682 []\T1/cmr/m/n/10 Many Linux sys-tems also of-fer a stan-dard pack-age for this. Red Hat/Fedora/Centos Overfull \hbox (25.03474pt too wide) in paragraph at lines 717--720 []\T1/cmr/m/n/10 For dy-namic graph view-ing con-sider ZGRViewer \T1/cmss/m/n/1 0 http://zvtm.sourceforge.net/zgrviewer.html\T1/cmr/m/n/10 . [11] Overfull \hbox (4.08153pt too wide) in paragraph at lines 732--732 [] \T1/cmtt/m/n/10 *1:2: VAR 0x91a780 {a22} @dt=0xa2e640(w32) out_wid e [O] WIRE[] Overfull \hbox (119.55333pt too wide) in paragraph at lines 732--732 [] \T1/cmtt/m/n/10 1:2:1: BASICDTYPE 0xa2e640 {e24} @dt=this(sw32) integer kwd=integer range=[31:0][] Overfull \hbox (71.0713pt too wide) in paragraph at lines 801--801 [] \T1/cmtt/m/n/10 1:1: CELLINLINE 0x92bc1d8 {e24} w0 v__DOT__i_t est_gen -> test_gen[] [12] Overfull \hbox (166.7918pt too wide) in paragraph at lines 882--882 [] \T1/cmtt/m/n/10 1:2:1:1: VARREF 0x92c2598 {e24} w0 clk [RV] <- VA R 0x92a2e90 {e18} w0 clk [I] INPUT[] [13] Overfull \hbox (4.08153pt too wide) in paragraph at lines 922--922 [] \T1/cmtt/m/n/10 -cc -Mdir obj_dir/t_alw_dly --debug-check -f input.vc t/t _alw_dly.v[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 938--938 [] \T1/cmtt/m/n/10 Temporary breakpoint 1, main (argc=13, argv=0xbfffefa4, env =0xbfffefdc)[] [14] Overfull \hbox (56.6165pt too wide) in paragraph at lines 995--999 []\T1/cmr/m/n/10 If gram-mar changes are needed, look at the git ver-sion of Ve r-ilog-Perl's src/VParseGrammar.y, [15] No file internals.ind. [16] (./internals.aux) Package rerunfilecheck Warning: File `internals.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/cm-super/cm-super-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/cm -super/cm-super-t1.enc} Output written on internals.pdf (17 pages, 254085 bytes). Transcript written on internals.log. pdflatex internals.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./internals.tex LaTeX2e <2018-04-01> patch level 2 Babel <3.18> and hyphenation patterns for 84 language(s) loaded. (/usr/share/texmf-dist/tex/latex/base/article.cls Document Class: article 2014/09/29 v1.4h Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo)) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texmf-dist/tex/latex/base/makeidx.sty) Writing index file internals.idx (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (./internals.aux) (/usr/share/texmf-dist/tex/latex/base/ts1cmr.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./internals.out) (./internals.out) [1{/usr/share/texmf-dist/fonts/map/pdftex/u pdmap/pdftex.map}] (./internals.toc) [1] [2] (/usr/share/texmf-dist/tex/latex/base/t1cmtt.fd) (/usr/share/texmf-dist/tex/latex/base/t1cmss.fd) [3] [4] Overfull \hbox (75.30489pt too wide) in paragraph at lines 304--308 \T1/cmr/m/n/10 ates. Wikipedia pro-vides an in-tro-duc-tion to the con-cept at \T1/cmss/m/n/10 http://en.wikipedia.org/wiki/Visitor_pattern\T1/cmr/m/n/10 . [5] Overfull \hbox (16.41689pt too wide) in paragraph at lines 367--373 []\T1/cmr/m/n/10 A vis-i-tor first clears the one it wants to use by call-ing \ T1/cmtt/m/n/10 AstNode::user#ClearTree()\T1/cmr/m/n/10 , Overfull \hbox (13.3354pt too wide) in paragraph at lines 378--378 [] \T1/cmtt/m/n/10 // AstModule::user1p() // bool. True to inline thi s module[] Overfull \hbox (5.41142pt too wide) in paragraph at lines 381--383 []\T1/cmr/m/n/10 This says that at the \T1/cmtt/m/n/10 AstNetlist user1ClearTre e() \T1/cmr/m/n/10 is called. Each \T1/cmtt/m/n/10 AstModule\T1/cmr/m/n/10 's [6] [7] Overfull \hbox (61.81743pt too wide) in paragraph at lines 467--467 [] \T1/cmtt/m/n/10 nodep->lhsp()->iterateAndNext(...); // and under covers nodep->lhsp() changes[] Overfull \hbox (77.56358pt too wide) in paragraph at lines 480--480 [] \T1/cmtt/m/n/10 lp->iterateAndNext(...); **lhsp=NULL;** // and under cove rs nodep->lhsp() changes[] Overfull \hbox (0.44353pt too wide) in paragraph at lines 506--512 []\T1/cmr/m/n/10 However that method might want to spec-ify ad-di-tional code i f it is called for \T1/cmtt/m/n/10 AstGenIf\T1/cmr/m/n/10 . [8] Overfull \hbox (58.55788pt too wide) in paragraph at lines 556--558 []\T1/cmr/m/n/10 * vcd-diff to find dif-fer-ences in VCD out-puts. See the read me at https://github.com/veripool/vcddiff [9] [10] Overfull \hbox (13.54832pt too wide) in paragraph at lines 679--682 []\T1/cmr/m/n/10 Many Linux sys-tems also of-fer a stan-dard pack-age for this. Red Hat/Fedora/Centos Overfull \hbox (25.03474pt too wide) in paragraph at lines 717--720 []\T1/cmr/m/n/10 For dy-namic graph view-ing con-sider ZGRViewer \T1/cmss/m/n/1 0 http://zvtm.sourceforge.net/zgrviewer.html\T1/cmr/m/n/10 . [11] Overfull \hbox (4.08153pt too wide) in paragraph at lines 732--732 [] \T1/cmtt/m/n/10 *1:2: VAR 0x91a780 {a22} @dt=0xa2e640(w32) out_wid e [O] WIRE[] Overfull \hbox (119.55333pt too wide) in paragraph at lines 732--732 [] \T1/cmtt/m/n/10 1:2:1: BASICDTYPE 0xa2e640 {e24} @dt=this(sw32) integer kwd=integer range=[31:0][] Overfull \hbox (71.0713pt too wide) in paragraph at lines 801--801 [] \T1/cmtt/m/n/10 1:1: CELLINLINE 0x92bc1d8 {e24} w0 v__DOT__i_t est_gen -> test_gen[] [12] Overfull \hbox (166.7918pt too wide) in paragraph at lines 882--882 [] \T1/cmtt/m/n/10 1:2:1:1: VARREF 0x92c2598 {e24} w0 clk [RV] <- VA R 0x92a2e90 {e18} w0 clk [I] INPUT[] [13] Overfull \hbox (4.08153pt too wide) in paragraph at lines 922--922 [] \T1/cmtt/m/n/10 -cc -Mdir obj_dir/t_alw_dly --debug-check -f input.vc t/t _alw_dly.v[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 938--938 [] \T1/cmtt/m/n/10 Temporary breakpoint 1, main (argc=13, argv=0xbfffefa4, env =0xbfffefdc)[] [14] Overfull \hbox (56.6165pt too wide) in paragraph at lines 995--999 []\T1/cmr/m/n/10 If gram-mar changes are needed, look at the git ver-sion of Ve r-ilog-Perl's src/VParseGrammar.y, [15] No file internals.ind. [16] (./internals.aux) ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/cm-super/cm-super-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/cm -super/cm-super-t1.enc} Output written on internals.pdf (17 pages, 258547 bytes). Transcript written on internals.log. rm -f internals.toc internals.aux internals.idx internals.out make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' pod2latex --full --out verilator.tex ./bin/verilator /usr/bin/perl ./src/pod2latexfix "Verilator 3.924" "2018-06-12" < verilator.tex > verilator2.tex mv verilator2.tex verilator.tex pdflatex verilator.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2018-04-01> patch level 2 Babel <3.18> and hyphenation patterns for 84 language(s) loaded. (/usr/share/texmf-dist/tex/latex/base/article.cls Document Class: article 2014/09/29 v1.4h Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo)) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texmf-dist/tex/latex/base/makeidx.sty) Writing index file verilator.idx (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) No file verilator.aux. (/usr/share/texmf-dist/tex/latex/base/ts1cmr.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) [1{/usr/share/ texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [1] (/usr/share/texmf-dist/tex/latex/base/t1cmtt.fd) Overfull \hbox (40.82256pt too wide) in paragraph at lines 38--38 [] \T1/cmtt/m/n/10 verilator --cc [options] [source_files.v]... [opt_c_files .cpp/c/cc/a/o/so][] Overfull \hbox (40.82256pt too wide) in paragraph at lines 38--38 [] \T1/cmtt/m/n/10 verilator --sc [options] [source_files.v]... [opt_c_files .cpp/c/cc/a/o/so][] Overfull \hbox (1.6238pt too wide) in paragraph at lines 48--52 []\T1/cmr/m/n/10 Verilator is in-voked with pa-ram-e-ters sim-i-lar to GCC, Ca- dence Verilog-XL/NC-Verilog, LaTeX Warning: Reference `VERILATION_ARGUMENTS' on page 2 undefined on input li ne 72. Overfull \hbox (51.31999pt too wide) in paragraph at lines 78--78 [] \T1/cmtt/m/n/10 {file.v} Verilog package, module and t op module filenames[] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1364-1995ext+ Use Verilog 1995 with file ex tension [] [2] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1364-2001ext+ Use Verilog 2001 with file ex tension [] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1364-2005ext+ Use Verilog 2005 with file ex tension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2005ext+ Use SystemVerilog 2005 with f ile extension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2009ext+ Use SystemVerilog 2009 with f ile extension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2012ext+ Use SystemVerilog 2012 with f ile extension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2017ext+ Use SystemVerilog 2017 with f ile extension [] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --debugi- Enable debugging a source fil e at a level[] Overfull \hbox (98.55846pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --dump-treei- Enable dumping .tree file at a source file at a level[] [3] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-clk Prevent marking specified sig nal as clock[] Overfull \hbox (4.08153pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-decoration Disable comments and symbol d ecorations[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-pins64 Don't use vluint64_t's for 33 -64 bit sigs[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-order-clock-delay Disable ordering clock enable assignments[] Overfull \hbox (4.08153pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 -P Disable line numbers and blan ks with -E[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --relative-includes Resolve includes relative to current file[] Overfull \hbox (9.33025pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-relative-cfuncs Disallow 'this->' in generate d functions[] [4] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --x-initial-edge Enable initial X->0 and X->1 edge triggers[] [5] Overfull \hbox (24.22716pt too wide) in paragraph at lines 247--250 []\T1/cmr/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/cmtt/m/n/10 +verilog1995ext+\T1/cmr/m/it/10 ext\T1/cmr/m/n/10 , Overfull \hbox (1.1106pt too wide) in paragraph at lines 273--277 []\T1/cmr/bx/n/10 Note \T1/cmtt/m/n/10 `begin_keywords \T1/cmr/m/n/10 is a Sys- temVer-ilog con-struct, which spec-i-fies \T1/cmr/m/it/10 only \T1/cmr/m/n/10 w hich [6] Overfull \hbox (24.11055pt too wide) in paragraph at lines 320--323 \T1/cmr/m/n/10 the gen-er-ated make-file these will be passed to the C++ com-pi ler (gcc/g++/msvc++). Overfull \hbox (1.32799pt too wide) in paragraph at lines 359--363 []\T1/cmr/m/n/10 Note signal-name is spec-i-fied by the RTL hi-er-ar-chy path. For ex-am-ple, v.foo.bar. [7] [8] [9] Overfull \hbox (11.85934pt too wide) in paragraph at lines 561--564 []\T1/cmr/m/n/10 Any lan-guage as-so-ci-ated with a par-tic-u-lar file ex-ten-s ion (see the var-i-ous +\T1/cmr/m/it/10 lang\T1/cmr/m/n/10 ext+ Overfull \hbox (4.3376pt too wide) in paragraph at lines 561--564 \T1/cmr/m/n/10 op-tions) will be used in pref-er-ence to the lan-guage spec-i-f ied by --default-language. [10] [11] [12] [13] [14] Overfull \hbox (16.27803pt too wide) in paragraph at lines 979--983 []\T1/cmr/m/n/10 Specifies Sys-temC in-puts/outputs of greater than 65 bits wid e should use sc_biguint [15] (/usr/share/texmf-dist/tex/latex/base/t1cmss.fd) [16] Overfull \hbox (8.08669pt too wide) in paragraph at lines 1117--1117 [] \T1/cmtt/m/n/10 os << main_time; // user code must save the timesta mp, etc[] [17] [18] Overfull \hbox (8.00117pt too wide) in paragraph at lines 1298--1304 \T1/cmr/m/n/10 lent to "-Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE Overfull \hbox (2.72359pt too wide) in paragraph at lines 1298--1304 \T1/cmr/m/n/10 -Wno-CASEOVERLAP -Wno-CASEX -Wno-CASEWITHX -Wno-CMPCONST [19] Overfull \hbox (18.55305pt too wide) in paragraph at lines 1314--1318 \T1/cmr/m/n/10 Wno-SYNCASYNCNET -Wno-UNDRIVEN -Wno-UNUSED -Wno-VARHIDDEN". Overfull \hbox (8.08339pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 ALWCOMBORDER -Wwarn-BSSPACE -Wwarn-CASEINCOMPLETE -Wwarn- Overfull \hbox (8.63881pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 CASEOVERLAP -Wwarn-CASEX -Wwarn-CASEWITHX -Wwarn-CMPCONST Overfull \hbox (36.71529pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDIA N Overfull \hbox (21.71895pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 -Wwarn-PINMISSING -Wwarn-REALCVT -Wwarn-UNSIGNED -Wwarn-WIDTH". Overfull \hbox (40.08946pt too wide) in paragraph at lines 1348--1352 \T1/cmr/m/n/10 AS-SIGNDLY -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-INCABSPATH [20] LaTeX Warning: Reference `Unknown_states' on page 21 undefined on input line 13 99. [21] [22] [23] [24] [25] Overfull \hbox (19.82768pt too wide) in paragraph at lines 1767--1767 [] \T1/cmtt/m/n/10 {prefix}.mk // Make include file for compiling[] [26] Overfull \hbox (35.57384pt too wide) in paragraph at lines 1767--1767 [] \T1/cmtt/m/n/10 {prefix}_classes.mk // Make include file with class names[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 1777--1777 [] \T1/cmtt/m/n/10 {prefix}{each_verilog_module}.cpp // Lower level intern al C++ files[] Overfull \hbox (30.32512pt too wide) in paragraph at lines 1777--1777 [] \T1/cmtt/m/n/10 {prefix}{each_verilog_module}.h // Lower level intern al header files[] Overfull \hbox (35.57384pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__Dpi.h // DPI import and exp ort declarations[] Overfull \hbox (46.07127pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__Slow.cpp // Constructors and i nfrequent routines[] Overfull \hbox (40.82256pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__Trace.cpp // Wave file generati on code (--trace)[] Overfull \hbox (46.07127pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__cdc.txt // Clock Domain Cross ing checks (--cdc)[] Overfull \hbox (25.0764pt too wide) in paragraph at lines 1804--1804 [] \T1/cmtt/m/n/10 {each_verilog_module}.vpp // Post-processed ver ilog (--debug)[] Overfull \hbox (9.33025pt too wide) in paragraph at lines 1804--1804 [] \T1/cmtt/m/n/10 {prefix}__verFiles.dat // Timestamps for ski p-identical[] Overfull \hbox (19.82768pt too wide) in paragraph at lines 1804--1804 [] \T1/cmtt/m/n/10 {prefix}{misc}.dot // Debugging graph fi les (--debug)[] Overfull \hbox (40.82256pt too wide) in paragraph at lines 1813--1813 [] \T1/cmtt/m/n/10 {prefix} // Final executable ( w/--exe argument)[] Overfull \hbox (25.0764pt too wide) in paragraph at lines 1813--1813 [] \T1/cmtt/m/n/10 {prefix}__ALL.a // Library of all Ver ilated objects[] [27] [28] Overfull \hbox (4.08153pt too wide) in paragraph at lines 1936--1936 [] \T1/cmtt/m/n/10 return main_time; // converts to double , to match[] [29] Overfull \hbox (1.14497pt too wide) in paragraph at lines 1996--1996 []\T1/cmr/bx/n/14.4 DIRECT PRO-GRAM-MING IN-TER-FACE (DPI)[][] Overfull \hbox (19.82768pt too wide) in paragraph at lines 2011--2011 [] \T1/cmtt/m/n/10 import "DPI-C" function integer add (input integer a, inpu t integer b);[] [30] [31] [32] Overfull \hbox (35.57384pt too wide) in paragraph at lines 2142--2142 [] \T1/cmtt/m/n/10 initial $display("This is line %d, again, line %d\n", `lin e, dpic_line());[] [33] [34] Overfull \hbox (56.56871pt too wide) in paragraph at lines 2269--2269 [] \T1/cmtt/m/n/10 vpiHandle vh1 = vpi_handle_by_name((PLI_BYTE8*)"TOP .our.readme", NULL);[] Overfull \hbox (72.31487pt too wide) in paragraph at lines 2269--2269 [] \T1/cmtt/m/n/10 if (!vh1) { vl_fatal(__FILE__, __LINE__, "sim_main" , "No handle found"); }[] Overfull \hbox (19.82768pt too wide) in paragraph at lines 2276--2276 [] \T1/cmtt/m/n/10 printf("Value of v: %d\n", v.value.integer); // Pr ints "readme"[] [35] Overfull \hbox (29.24205pt too wide) in paragraph at lines 2352--2355 \T1/cmr/m/n/10 of the .cpp files to com-pile in from the make vari-ables gen-er -ated in obj_dir/Vour_classes.mk. [36] Overfull \hbox (7.7812pt too wide) in paragraph at lines 2413--2416 []\T1/cmr/m/n/10 If the -msg is omit-ted, all lint warn-ings (see list in -Wno- lint) are en-abled/disabled. [37] Overfull \hbox (21.23476pt too wide) in paragraph at lines 2442--2445 \T1/cmr/m/n/10 Verilator sup-ports most Ver-ilog 2005 lan-guage fea-tures. This in-cludes the `be-gin_keywords [38] [39] [40] [41] [42] [43] Overfull \hbox (34.33028pt too wide) in paragraph at lines 2853--2853 [] \T1/cmtt/m/n/10 typedef enum logic [2:0] { ZERO = 3'b0 } pub_t /*verilat or public*/;[] [44] [45] [46] [47] Overfull \hbox (9.33025pt too wide) in paragraph at lines 3146--3146 [] \T1/cmtt/m/n/10 input driver; // Value being driven in from "extern al" drivers[] [48] [49] Overfull \hbox (52.43483pt too wide) in paragraph at lines 3245--3246 []\T1/cmr/bx/n/10 `begin_keywords, `be-gin_keywords, Overfull \hbox (15.38351pt too wide) in paragraph at lines 3245--3246 \T1/cmr/bx/n/10 `be-gin_keywords, `be-gin_keywords, `de-fine, `else, `el-sif, ` end_keywords, Overfull \hbox (19.71162pt too wide) in paragraph at lines 3245--3246 \T1/cmr/bx/n/10 `en-dif, `er-ror, `ifdef, `ifn-def, `in-clude, `line, `sys-temc _ctor, `sys-temc_dtor, Overfull \hbox (0.52464pt too wide) in paragraph at lines 3245--3246 \T1/cmr/bx/n/10 `sys-temc_header, `sys-temc_imp_header, `sys-temc_implementatio n, [50] Overfull \hbox (7.4236pt too wide) in paragraph at lines 3331--3332 []\T1/cmr/bx/n/10 $info, $isun-known, $one-hot, $one- [51] Overfull \hbox (11.1213pt too wide) in paragraph at lines 3366--3367 []\T1/cmr/bx/n/10 $recovery, $recrem, $re-moval, $setup, Overfull \hbox (42.16634pt too wide) in paragraph at lines 3400--3404 \T1/cmr/m/n/10 Gen-er-ally you can just ifdef them out for no ill ef-fect. Note also VL_TIME_MULTIPLER [52] [53] Overfull \hbox (18.58412pt too wide) in paragraph at lines 3552--3552 [] \T1/cmtt/m/n/10 array[i] = 0; // Non-delayed for verilator[] [54] Overfull \hbox (79.44426pt too wide) in paragraph at lines 3603--3606 \T1/cmss/m/n/10 http://www.sunburst-design.com/papers/CummingsSNUG1999Boston_Fu llParallelCase_rev1_1.pdf [55] Overfull \hbox (150.9731pt too wide) in paragraph at lines 3671--3677 \T1/cmr/m/n/10 logic races or other nas-ties. See \T1/cmss/m/n/10 http://www.su nburst-design.com/papers/CummingsSNUG2000SJ_NBA_rev1_2.pdf [56] Overfull \hbox (26.69325pt too wide) in paragraph at lines 3787--3790 []\T1/cmr/m/n/10 Warns that a task or func-tion that has been marked with /*ver -i-la-tor no_inline_task*/ [57] [58] Overfull \hbox (8.08669pt too wide) in paragraph at lines 3978--3978 [] \T1/cmtt/m/n/10 if (seven != 7) out = vec[seven]; // Never will use vec[7][] [59] Overfull \hbox (13.3354pt too wide) in paragraph at lines 4028--4028 [] \T1/cmtt/m/n/10 foo(bus_we_select_from[2]); // Will get TASKNSV AR error[] [60] [61] [62] [63] Overfull \hbox (0.17195pt too wide) in paragraph at lines 4378--4381 []\T1/cmr/m/n/10 Most com-mer-cial sim-u-la-tors have to be Ver-ilog com-pli-an t, mean-ing event driven. [64] Overfull \hbox (71.89711pt too wide) in paragraph at lines 4439--4444 \T1/cmr/m/n/10 "trace_object-$\OML/cmm/m/it/10 >$\T1/cmr/m/n/10 close()". For a n ex-am-ple, see be-low and the ex-am-ples/tracing_c/sim_main.cpp Overfull \hbox (29.08156pt too wide) in paragraph at lines 4473--4473 [] \T1/cmtt/m/n/10 while (sc_time_stamp() < sim_time && !Verilated::got Finish()) {[] [65] Overfull \hbox (4.61531pt too wide) in paragraph at lines 4477--4482 \T1/cmr/m/n/10 ex-am-ple, see the call to Ver-i-lat-ed-VcdSc in the ex-am-ples/ tracing_sc/sc_main.cpp Overfull \hbox (41.89787pt too wide) in paragraph at lines 4491--4495 \T1/cmr/m/n/10 add them to your link, prefer-ably by adding the de-pen-den-cies in $(VK_GLOBAL_OBJS) [66] Overfull \hbox (25.01775pt too wide) in paragraph at lines 4562--4564 []\T1/cmr/m/n/10 Run each of your tests in dif-fer-ent di-rec-to-ries. Each tes t will cre-ate a logs/coverage.dat Overfull \hbox (8.27184pt too wide) in paragraph at lines 4567--4570 []\T1/cmr/m/n/10 After run-ning all of your tests, ver-i-la-tor_coverage is ex- e-cuted. Ver-i-la-tor_coverage [67] [68] [69] Overfull \hbox (4.08153pt too wide) in paragraph at lines 4826--4826 [] \T1/cmtt/m/n/10 t/t_BUG.pl --debug # Run on Verilator, passing --debug to Verilator[] [70] [71] [72] No file verilator.ind. [73] (./verilator.aux) Package rerunfilecheck Warning: File `verilator.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/cm-super/cm-super-t1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/cm- super/cm-super-ts1.enc}< /usr/share/texmf-dist/fonts/type1/public/cm-super/sfrm1000.pfb> Output written on verilator.pdf (74 pages, 431225 bytes). Transcript written on verilator.log. pdflatex verilator.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2018-04-01> patch level 2 Babel <3.18> and hyphenation patterns for 84 language(s) loaded. (/usr/share/texmf-dist/tex/latex/base/article.cls Document Class: article 2014/09/29 v1.4h Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo)) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texmf-dist/tex/latex/base/makeidx.sty) Writing index file verilator.idx (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/base/ts1cmr.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./verilator.out) (./verilator.out) [1{/usr/share/texmf-dist/fonts/map/pdftex/u pdmap/pdftex.map}] (./verilator.toc [1]) [2] (/usr/share/texmf-dist/tex/latex/base/t1cmtt.fd) Overfull \hbox (40.82256pt too wide) in paragraph at lines 38--38 [] \T1/cmtt/m/n/10 verilator --cc [options] [source_files.v]... [opt_c_files .cpp/c/cc/a/o/so][] Overfull \hbox (40.82256pt too wide) in paragraph at lines 38--38 [] \T1/cmtt/m/n/10 verilator --sc [options] [source_files.v]... [opt_c_files .cpp/c/cc/a/o/so][] Overfull \hbox (1.6238pt too wide) in paragraph at lines 48--52 []\T1/cmr/m/n/10 Verilator is in-voked with pa-ram-e-ters sim-i-lar to GCC, Ca- dence Verilog-XL/NC-Verilog, Overfull \hbox (51.31999pt too wide) in paragraph at lines 78--78 [] \T1/cmtt/m/n/10 {file.v} Verilog package, module and t op module filenames[] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1364-1995ext+ Use Verilog 1995 with file ex tension [] [3] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1364-2001ext+ Use Verilog 2001 with file ex tension [] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1364-2005ext+ Use Verilog 2005 with file ex tension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2005ext+ Use SystemVerilog 2005 with f ile extension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2009ext+ Use SystemVerilog 2009 with f ile extension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2012ext+ Use SystemVerilog 2012 with f ile extension [] Overfull \hbox (51.31999pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 +1800-2017ext+ Use SystemVerilog 2017 with f ile extension [] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --debugi- Enable debugging a source fil e at a level[] Overfull \hbox (98.55846pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --dump-treei- Enable dumping .tree file at a source file at a level[] [4] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-clk Prevent marking specified sig nal as clock[] Overfull \hbox (4.08153pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-decoration Disable comments and symbol d ecorations[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-pins64 Don't use vluint64_t's for 33 -64 bit sigs[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-order-clock-delay Disable ordering clock enable assignments[] Overfull \hbox (4.08153pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 -P Disable line numbers and blan ks with -E[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --relative-includes Resolve includes relative to current file[] Overfull \hbox (9.33025pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --no-relative-cfuncs Disallow 'this->' in generate d functions[] [5] Overfull \hbox (19.82768pt too wide) in paragraph at lines 203--203 [] \T1/cmtt/m/n/10 --x-initial-edge Enable initial X->0 and X->1 edge triggers[] [6] Overfull \hbox (24.22716pt too wide) in paragraph at lines 247--250 []\T1/cmr/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/cmtt/m/n/10 +verilog1995ext+\T1/cmr/m/it/10 ext\T1/cmr/m/n/10 , Overfull \hbox (1.1106pt too wide) in paragraph at lines 273--277 []\T1/cmr/bx/n/10 Note \T1/cmtt/m/n/10 `begin_keywords \T1/cmr/m/n/10 is a Sys- temVer-ilog con-struct, which spec-i-fies \T1/cmr/m/it/10 only \T1/cmr/m/n/10 w hich [7] Overfull \hbox (24.11055pt too wide) in paragraph at lines 320--323 \T1/cmr/m/n/10 the gen-er-ated make-file these will be passed to the C++ com-pi ler (gcc/g++/msvc++). Overfull \hbox (1.32799pt too wide) in paragraph at lines 359--363 []\T1/cmr/m/n/10 Note signal-name is spec-i-fied by the RTL hi-er-ar-chy path. For ex-am-ple, v.foo.bar. [8] [9] [10] Overfull \hbox (11.85934pt too wide) in paragraph at lines 561--564 []\T1/cmr/m/n/10 Any lan-guage as-so-ci-ated with a par-tic-u-lar file ex-ten-s ion (see the var-i-ous +\T1/cmr/m/it/10 lang\T1/cmr/m/n/10 ext+ Overfull \hbox (4.3376pt too wide) in paragraph at lines 561--564 \T1/cmr/m/n/10 op-tions) will be used in pref-er-ence to the lan-guage spec-i-f ied by --default-language. [11] [12] [13] [14] [15] Overfull \hbox (16.27803pt too wide) in paragraph at lines 979--983 []\T1/cmr/m/n/10 Specifies Sys-temC in-puts/outputs of greater than 65 bits wid e should use sc_biguint [16] (/usr/share/texmf-dist/tex/latex/base/t1cmss.fd) [17] Overfull \hbox (8.08669pt too wide) in paragraph at lines 1117--1117 [] \T1/cmtt/m/n/10 os << main_time; // user code must save the timesta mp, etc[] [18] [19] Overfull \hbox (8.00117pt too wide) in paragraph at lines 1298--1304 \T1/cmr/m/n/10 lent to "-Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE Overfull \hbox (2.72359pt too wide) in paragraph at lines 1298--1304 \T1/cmr/m/n/10 -Wno-CASEOVERLAP -Wno-CASEX -Wno-CASEWITHX -Wno-CMPCONST [20] Overfull \hbox (18.55305pt too wide) in paragraph at lines 1314--1318 \T1/cmr/m/n/10 Wno-SYNCASYNCNET -Wno-UNDRIVEN -Wno-UNUSED -Wno-VARHIDDEN". Overfull \hbox (8.08339pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 ALWCOMBORDER -Wwarn-BSSPACE -Wwarn-CASEINCOMPLETE -Wwarn- Overfull \hbox (8.63881pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 CASEOVERLAP -Wwarn-CASEX -Wwarn-CASEWITHX -Wwarn-CMPCONST Overfull \hbox (36.71529pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDIA N Overfull \hbox (21.71895pt too wide) in paragraph at lines 1338--1344 \T1/cmr/m/n/10 -Wwarn-PINMISSING -Wwarn-REALCVT -Wwarn-UNSIGNED -Wwarn-WIDTH". Overfull \hbox (40.08946pt too wide) in paragraph at lines 1348--1352 \T1/cmr/m/n/10 AS-SIGNDLY -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-INCABSPATH [21] [22] [23] [24] [25] [26] Overfull \hbox (19.82768pt too wide) in paragraph at lines 1767--1767 [] \T1/cmtt/m/n/10 {prefix}.mk // Make include file for compiling[] [27] Overfull \hbox (35.57384pt too wide) in paragraph at lines 1767--1767 [] \T1/cmtt/m/n/10 {prefix}_classes.mk // Make include file with class names[] Overfull \hbox (14.57896pt too wide) in paragraph at lines 1777--1777 [] \T1/cmtt/m/n/10 {prefix}{each_verilog_module}.cpp // Lower level intern al C++ files[] Overfull \hbox (30.32512pt too wide) in paragraph at lines 1777--1777 [] \T1/cmtt/m/n/10 {prefix}{each_verilog_module}.h // Lower level intern al header files[] Overfull \hbox (35.57384pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__Dpi.h // DPI import and exp ort declarations[] Overfull \hbox (46.07127pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__Slow.cpp // Constructors and i nfrequent routines[] Overfull \hbox (40.82256pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__Trace.cpp // Wave file generati on code (--trace)[] Overfull \hbox (46.07127pt too wide) in paragraph at lines 1791--1791 [] \T1/cmtt/m/n/10 {prefix}__cdc.txt // Clock Domain Cross ing checks (--cdc)[] Overfull \hbox (25.0764pt too wide) in paragraph at lines 1804--1804 [] \T1/cmtt/m/n/10 {each_verilog_module}.vpp // Post-processed ver ilog (--debug)[] Overfull \hbox (9.33025pt too wide) in paragraph at lines 1804--1804 [] \T1/cmtt/m/n/10 {prefix}__verFiles.dat // Timestamps for ski p-identical[] Overfull \hbox (19.82768pt too wide) in paragraph at lines 1804--1804 [] \T1/cmtt/m/n/10 {prefix}{misc}.dot // Debugging graph fi les (--debug)[] Overfull \hbox (40.82256pt too wide) in paragraph at lines 1813--1813 [] \T1/cmtt/m/n/10 {prefix} // Final executable ( w/--exe argument)[] Overfull \hbox (25.0764pt too wide) in paragraph at lines 1813--1813 [] \T1/cmtt/m/n/10 {prefix}__ALL.a // Library of all Ver ilated objects[] [28] [29] Overfull \hbox (4.08153pt too wide) in paragraph at lines 1936--1936 [] \T1/cmtt/m/n/10 return main_time; // converts to double , to match[] [30] Overfull \hbox (1.14497pt too wide) in paragraph at lines 1996--1996 []\T1/cmr/bx/n/14.4 DIRECT PRO-GRAM-MING IN-TER-FACE (DPI)[][] Overfull \hbox (19.82768pt too wide) in paragraph at lines 2011--2011 [] \T1/cmtt/m/n/10 import "DPI-C" function integer add (input integer a, inpu t integer b);[] [31] [32] [33] Overfull \hbox (35.57384pt too wide) in paragraph at lines 2142--2142 [] \T1/cmtt/m/n/10 initial $display("This is line %d, again, line %d\n", `lin e, dpic_line());[] [34] [35] Overfull \hbox (56.56871pt too wide) in paragraph at lines 2269--2269 [] \T1/cmtt/m/n/10 vpiHandle vh1 = vpi_handle_by_name((PLI_BYTE8*)"TOP .our.readme", NULL);[] Overfull \hbox (72.31487pt too wide) in paragraph at lines 2269--2269 [] \T1/cmtt/m/n/10 if (!vh1) { vl_fatal(__FILE__, __LINE__, "sim_main" , "No handle found"); }[] Overfull \hbox (19.82768pt too wide) in paragraph at lines 2276--2276 [] \T1/cmtt/m/n/10 printf("Value of v: %d\n", v.value.integer); // Pr ints "readme"[] [36] Overfull \hbox (29.24205pt too wide) in paragraph at lines 2352--2355 \T1/cmr/m/n/10 of the .cpp files to com-pile in from the make vari-ables gen-er -ated in obj_dir/Vour_classes.mk. [37] Overfull \hbox (7.7812pt too wide) in paragraph at lines 2413--2416 []\T1/cmr/m/n/10 If the -msg is omit-ted, all lint warn-ings (see list in -Wno- lint) are en-abled/disabled. [38] Overfull \hbox (21.23476pt too wide) in paragraph at lines 2442--2445 \T1/cmr/m/n/10 Verilator sup-ports most Ver-ilog 2005 lan-guage fea-tures. This in-cludes the `be-gin_keywords [39] [40] [41] [42] [43] [44] Overfull \hbox (34.33028pt too wide) in paragraph at lines 2853--2853 [] \T1/cmtt/m/n/10 typedef enum logic [2:0] { ZERO = 3'b0 } pub_t /*verilat or public*/;[] [45] [46] [47] [48] Overfull \hbox (9.33025pt too wide) in paragraph at lines 3146--3146 [] \T1/cmtt/m/n/10 input driver; // Value being driven in from "extern al" drivers[] [49] [50] Overfull \hbox (52.43483pt too wide) in paragraph at lines 3245--3246 []\T1/cmr/bx/n/10 `begin_keywords, `be-gin_keywords, Overfull \hbox (15.38351pt too wide) in paragraph at lines 3245--3246 \T1/cmr/bx/n/10 `be-gin_keywords, `be-gin_keywords, `de-fine, `else, `el-sif, ` end_keywords, Overfull \hbox (19.71162pt too wide) in paragraph at lines 3245--3246 \T1/cmr/bx/n/10 `en-dif, `er-ror, `ifdef, `ifn-def, `in-clude, `line, `sys-temc _ctor, `sys-temc_dtor, Overfull \hbox (0.52464pt too wide) in paragraph at lines 3245--3246 \T1/cmr/bx/n/10 `sys-temc_header, `sys-temc_imp_header, `sys-temc_implementatio n, [51] Overfull \hbox (7.4236pt too wide) in paragraph at lines 3331--3332 []\T1/cmr/bx/n/10 $info, $isun-known, $one-hot, $one- [52] Overfull \hbox (11.1213pt too wide) in paragraph at lines 3366--3367 []\T1/cmr/bx/n/10 $recovery, $recrem, $re-moval, $setup, Overfull \hbox (42.16634pt too wide) in paragraph at lines 3400--3404 \T1/cmr/m/n/10 Gen-er-ally you can just ifdef them out for no ill ef-fect. Note also VL_TIME_MULTIPLER [53] [54] Overfull \hbox (18.58412pt too wide) in paragraph at lines 3552--3552 [] \T1/cmtt/m/n/10 array[i] = 0; // Non-delayed for verilator[] [55] Overfull \hbox (79.44426pt too wide) in paragraph at lines 3603--3606 \T1/cmss/m/n/10 http://www.sunburst-design.com/papers/CummingsSNUG1999Boston_Fu llParallelCase_rev1_1.pdf [56] Overfull \hbox (150.9731pt too wide) in paragraph at lines 3671--3677 \T1/cmr/m/n/10 logic races or other nas-ties. See \T1/cmss/m/n/10 http://www.su nburst-design.com/papers/CummingsSNUG2000SJ_NBA_rev1_2.pdf [57] Overfull \hbox (26.69325pt too wide) in paragraph at lines 3787--3790 []\T1/cmr/m/n/10 Warns that a task or func-tion that has been marked with /*ver -i-la-tor no_inline_task*/ [58] [59] Overfull \hbox (8.08669pt too wide) in paragraph at lines 3978--3978 [] \T1/cmtt/m/n/10 if (seven != 7) out = vec[seven]; // Never will use vec[7][] [60] Overfull \hbox (13.3354pt too wide) in paragraph at lines 4028--4028 [] \T1/cmtt/m/n/10 foo(bus_we_select_from[2]); // Will get TASKNSV AR error[] [61] [62] [63] [64] Overfull \hbox (0.17195pt too wide) in paragraph at lines 4378--4381 []\T1/cmr/m/n/10 Most com-mer-cial sim-u-la-tors have to be Ver-ilog com-pli-an t, mean-ing event driven. [65] Overfull \hbox (71.89711pt too wide) in paragraph at lines 4439--4444 \T1/cmr/m/n/10 "trace_object-$\OML/cmm/m/it/10 >$\T1/cmr/m/n/10 close()". For a n ex-am-ple, see be-low and the ex-am-ples/tracing_c/sim_main.cpp Overfull \hbox (29.08156pt too wide) in paragraph at lines 4473--4473 [] \T1/cmtt/m/n/10 while (sc_time_stamp() < sim_time && !Verilated::got Finish()) {[] [66] Overfull \hbox (4.61531pt too wide) in paragraph at lines 4477--4482 \T1/cmr/m/n/10 ex-am-ple, see the call to Ver-i-lat-ed-VcdSc in the ex-am-ples/ tracing_sc/sc_main.cpp Overfull \hbox (41.89787pt too wide) in paragraph at lines 4491--4495 \T1/cmr/m/n/10 add them to your link, prefer-ably by adding the de-pen-den-cies in $(VK_GLOBAL_OBJS) [67] Overfull \hbox (25.01775pt too wide) in paragraph at lines 4562--4564 []\T1/cmr/m/n/10 Run each of your tests in dif-fer-ent di-rec-to-ries. Each tes t will cre-ate a logs/coverage.dat Overfull \hbox (8.27184pt too wide) in paragraph at lines 4567--4570 []\T1/cmr/m/n/10 After run-ning all of your tests, ver-i-la-tor_coverage is ex- e-cuted. Ver-i-la-tor_coverage [68] [69] [70] Overfull \hbox (4.08153pt too wide) in paragraph at lines 4826--4826 [] \T1/cmtt/m/n/10 t/t_BUG.pl --debug # Run on Verilator, passing --debug to Verilator[] [71] [72] [73] No file verilator.ind. [74] (./verilator.aux) LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/cm-super/cm-super-t1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/cm- super/cm-super-ts1.enc}< /usr/share/texmf-dist/fonts/type1/public/cm-super/sfrm1000.pfb> Output written on verilator.pdf (75 pages, 435791 bytes). Transcript written on verilator.log. rm -f verilator.toc verilator.aux verilator.idx verilator.out make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' edit V3ParseBison_pretmp.output V3ParseBison.output make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' edit V3ParseBison_pretmp.output V3ParseBison.output make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Linking ../../bin/verilator_coverage_bin_dbg... rm -rf ../../bin/verilator_coverage_bin_dbg ../../bin/verilator_coverage_bin_dbg.exe x86_64-alt-linux-g++ -L/usr/lib64 -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lm -lstdc++ make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' cd obj_opt && make TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/perl ../astgen -I.. V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ClkGater.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hashed.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ClkGater.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' /usr/bin/perl ../astgen -I.. V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Linking ../../bin/verilator_bin_dbg... rm -rf ../../bin/verilator_bin_dbg ../../bin/verilator_bin_dbg.exe x86_64-alt-linux-g++ -L/usr/lib64 -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Clean.o V3ClkGater.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3PreShell.o V3Premit.o V3Scope.o V3Slice.o V3Split.o V3SplitAs.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lm -lstdc++ make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hashed.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' Linking ../../bin/verilator_bin... rm -rf ../../bin/verilator_bin ../../bin/verilator_bin.exe x86_64-alt-linux-g++ -L/usr/lib64 -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Clean.o V3ClkGater.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3PreShell.o V3Premit.o V3Scope.o V3Slice.o V3Split.o V3SplitAs.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lm -lstdc++ make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' Build complete! Now type 'make test' to test. make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.18990 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/verilator-buildroot + : + /bin/rm -rf -- /usr/src/tmp/verilator-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games + cd verilator-3.924 + make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/verilator-buildroot make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' ------------------------------------------------------------ making verilator in src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src' cd obj_dbg && make -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_opt && make -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' cd obj_opt && make TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src' /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/bin mkdir /usr/src/tmp/verilator-buildroot mkdir /usr/src/tmp/verilator-buildroot/usr mkdir /usr/src/tmp/verilator-buildroot/usr/bin ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator /usr/src/tmp/verilator-buildroot/usr/bin/verilator ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_coverage /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_profcfunc /usr/src/tmp/verilator-buildroot/usr/bin/verilator_profcfunc ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_bin /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin_dbg ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_coverage_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin mkdir /usr/src/tmp/verilator-buildroot/usr/share mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_includer /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer ) pod2man bin/verilator verilator.1 pod2man bin/verilator_coverage verilator_coverage.1 pod2man bin/verilator_profcfunc verilator_profcfunc.1 /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/man/man1 mkdir /usr/src/tmp/verilator-buildroot/usr/share/man mkdir /usr/src/tmp/verilator-buildroot/usr/share/man/man1 cd . \ ; for p in verilator.1 verilator_coverage.1 verilator_profcfunc.1 ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/man/man1/$p; \ done /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done cd . \ ; for p in include/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/hello_world_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/hello_world_c /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/hello_world_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/hello_world_sc /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/tracing_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/tracing_c /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/tracing_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/tracing_sc cd . \ ; for p in examples/*/*.[chv]* examples/*/Makefile* ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done /bin/sh ./mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig mkdir /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig /usr/libexec/rpm-build/install -p -m 644 verilator.pc /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig Installed binaries to /usr/src/tmp/verilator-buildroot/usr/bin/verilator Installed man to /usr/src/tmp/verilator-buildroot/usr/share/man/man1 Installed examples to /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see http://www.veripool.org/verilator make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' + mkdir -p /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/ + mv /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig/verilator.pc /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/verilator.pc + mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/ + mv /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/ + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/verilator-buildroot (auto) Verifying and fixing files in /usr/src/tmp/verilator-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/verilator-buildroot/ (default) Compressing files in /usr/src/tmp/verilator-buildroot (auto) Adjusting library links in /usr/src/tmp/verilator-buildroot ./usr/lib64: Verifying ELF objects in /usr/src/tmp/verilator-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal) Hardlinking identical .pyc and .pyo files Executing(%check): /bin/sh -e /usr/src/tmp/rpm-tmp.14625 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-3.924 + make test make: Entering directory '/usr/src/RPM/BUILD/verilator-3.924' ------------------------------------------------------------ making verilator in src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src' cd obj_dbg && make -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_dbg && make TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_dbg' cd obj_opt && make -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' cd obj_opt && make TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -I/usr/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -MP -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src/obj_opt' make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/src' test_regress/t/t_a_first_cc.pl ====================================================================== dist/t_a_first_cc: ================================================== -Skip: dist/t_a_first_cc: scenario 'dist' not enabled for test dist/t_a_first_cc: %Skip: Skip: scenario 'dist' not enabled for test test_regress/t/t_a_first_sc.pl ====================================================================== dist/t_a_first_sc: ================================================== -Skip: dist/t_a_first_sc: scenario 'dist' not enabled for test dist/t_a_first_sc: %Skip: Skip: scenario 'dist' not enabled for test for p in examples/* ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c' -- Verilator hello-world simple example -- VERILATE ---------------- /usr/src/RPM/BUILD/verilator-3.924/bin/verilator -cc --exe top.v sim_main.cpp -- COMPILE ----------------- make -j 4 -C obj_dir -f Vtop.mk make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-3.924/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp > Vtop__ALLcls.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-3.924/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop__Syms.cpp > Vtop__ALLsup.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o Vtop__ALLsup.o Vtop__ALLsup.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' Archiving Vtop__ALL.a ... ar r Vtop__ALL.a Vtop__ALLcls.o Vtop__ALLsup.o ar: creating Vtop__ALL.a ranlib Vtop__ALL.a make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o verilated.o /usr/src/RPM/BUILD/verilator-3.924/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o Vtop__ALL.a -o Vtop -lm -lstdc++ make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:10: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/tracing_c. Note: Also see the EXAMPLE section in the verilator manpage/document. make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/hello_world_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c' -- Verilator tracing example -- VERILATE ---------------- /usr/src/RPM/BUILD/verilator-3.924/bin/verilator -cc --exe -O2 -x-assign 0 -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- COMPILE ----------------- make -j 4 -C obj_dir -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-3.924/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp > Vtop__ALLcls.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-3.924/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop__Trace.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALLsup.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-3.924/include/verilated_vcd_c.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated_cov.o /usr/src/RPM/BUILD/verilator-3.924/include/verilated_cov.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o verilated.o /usr/src/RPM/BUILD/verilator-3.924/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALLsup.o Vtop__ALLsup.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-3.924/include -I/usr/src/RPM/BUILD/verilator-3.924/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' Archiving Vtop__ALL.a ... ar r Vtop__ALL.a Vtop__ALLcls.o Vtop__ALLsup.o ar: creating Vtop__ALL.a ranlib Vtop__ALL.a make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o Vtop__ALL.a -o Vtop -lm -lstdc++ make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] Model running... [1] clk=0 rstl=1 iquad=1246 -> oquad=1247 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [4] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=128e -> oquad=0 owide=0_00000000_00000000 [6] clk=1 rstl=0 iquad=12a0 -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=12b2 -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=12c4 -> oquad=0 owide=0_00000000_00000000 [9] clk=0 rstl=0 iquad=12d6 -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=12e8 -> oquad=12e9 owide=3_22222222_11111112 [11] clk=0 rstl=1 iquad=12fa -> oquad=12fb owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=130c -> oquad=130d owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=131e -> oquad=131f owide=3_22222222_11111112 [14] clk=1 rstl=1 iquad=1330 -> oquad=1331 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=1342 -> oquad=1343 owide=3_22222222_11111112 [16] clk=1 rstl=1 iquad=1354 -> oquad=1355 owide=3_22222222_11111112 [17] clk=1 rstl=1 iquad=1366 -> oquad=1367 owide=3_22222222_11111112 [18] clk=0 rstl=1 iquad=1378 -> oquad=1379 owide=3_22222222_11111112 [19] clk=0 rstl=1 iquad=138a -> oquad=138b owide=3_22222222_11111112 [20] clk=0 rstl=1 iquad=139c -> oquad=139d owide=3_22222222_11111112 [21] clk=0 rstl=1 iquad=13ae -> oquad=13af owide=3_22222222_11111112 [22] clk=0 rstl=1 iquad=13c0 -> oquad=13c1 owide=3_22222222_11111112 [23] clk=1 rstl=1 iquad=13d2 -> oquad=13d3 owide=3_22222222_11111112 [24] clk=1 rstl=1 iquad=13e4 -> oquad=13e5 owide=3_22222222_11111112 [25] clk=1 rstl=1 iquad=13f6 -> oquad=13f7 owide=3_22222222_11111112 [26] clk=1 rstl=1 iquad=1408 -> oquad=1409 owide=3_22222222_11111112 [27] clk=1 rstl=1 iquad=141a -> oquad=141b owide=3_22222222_11111112 [28] clk=0 rstl=1 iquad=142c -> oquad=142d owide=3_22222222_11111112 [29] clk=0 rstl=1 iquad=143e -> oquad=143f owide=3_22222222_11111112 [30] clk=0 rstl=1 iquad=1450 -> oquad=1451 owide=3_22222222_11111112 [31] clk=0 rstl=1 iquad=1462 -> oquad=1463 owide=3_22222222_11111112 [32] clk=0 rstl=1 iquad=1474 -> oquad=1475 owide=3_22222222_11111112 [33] clk=1 rstl=1 iquad=1486 -> oquad=1487 owide=3_22222222_11111112 [34] clk=1 rstl=1 iquad=1498 -> oquad=1499 owide=3_22222222_11111112 [35] clk=1 rstl=1 iquad=14aa -> oquad=14ab owide=3_22222222_11111112 [36] clk=1 rstl=1 iquad=14bc -> oquad=14bd owide=3_22222222_11111112 [37] clk=1 rstl=1 iquad=14ce -> oquad=14cf owide=3_22222222_11111112 [38] clk=0 rstl=1 iquad=14e0 -> oquad=14e1 owide=3_22222222_11111112 [39] clk=0 rstl=1 iquad=14f2 -> oquad=14f3 owide=3_22222222_11111112 [40] clk=0 rstl=1 iquad=1504 -> oquad=1505 owide=3_22222222_11111112 [41] clk=0 rstl=1 iquad=1516 -> oquad=1517 owide=3_22222222_11111112 [42] clk=0 rstl=1 iquad=1528 -> oquad=1529 owide=3_22222222_11111112 [43] fastclk is 5 times faster than clk *-* All Finished *-* - sub.v:45: Verilog $finish [43] clk=1 rstl=1 iquad=153a -> oquad=153b owide=3_22222222_11111112 -- COVERAGE ---------------- /usr/src/RPM/BUILD/verilator-3.924/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (6/20) 30.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924/examples/tracing_sc' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make: Leaving directory '/usr/src/RPM/BUILD/verilator-3.924' + exit 0 Processing files: verilator-3.924-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.6CCHH3 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.vkBP6u find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,rpmlib,shebang,shell,static,symlinks,systemd-services) Provides: pkgconfig(verilator) = 3.924 Requires: /lib64/ld-linux-x86-64.so.2, /usr/lib64/pkgconfig, libc.so.6(GLIBC_2.14)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.3)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.4)(64bit), libgcc_s.so.1(GCC_3.0)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(CXXABI_1.3.5)(64bit), libstdc++.so.6(CXXABI_1.3.8)(64bit), libstdc++.so.6(CXXABI_1.3.9)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.18)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), rtld(GNU_HASH) Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.shDtGZ Creating verilator-debuginfo package Processing files: verilator-doc-3.924-alt1 Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.23185 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-3.924 + DOCDIR=/usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-3.924 + export DOCDIR + rm -rf /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-3.924 + /bin/mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-3.924 + cp -prL README README.html README.pdf internals.txt internals.html internals.pdf verilator.txt verilator.html verilator.pdf /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-3.924 + chmod -R go-w /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-3.924 + chmod -R a+rX /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-3.924 + exit 0 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.rDXhZx find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Eg59r9 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,rpmlib,shebang,shell,static,symlinks,systemd-services) Processing files: verilator-debuginfo-3.924-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.fyrxoO find-provides: running scripts (debuginfo) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.EL8Zuw find-requires: running scripts (debuginfo) Requires: verilator = 3.924-alt1, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libc.so.6), debug64(libgcc_s.so.1), debug64(libm.so.6), debug64(libstdc++.so.6) Wrote: /usr/src/RPM/RPMS/x86_64/verilator-3.924-alt1.x86_64.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/verilator-doc-3.924-alt1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/x86_64/verilator-debuginfo-3.924-alt1.x86_64.rpm (w2T8.xzdio) 431.31user 25.34system 2:01.71elapsed 375%CPU (0avgtext+0avgdata 432716maxresident)k 0inputs+0outputs (0major+11851875minor)pagefaults 0swaps 465.35user 31.75system 2:54.78elapsed 284%CPU (0avgtext+0avgdata 432716maxresident)k 56inputs+0outputs (0major+12709713minor)pagefaults 0swaps --- verilator-3.924-alt1.x86_64.rpm.repo 2018-06-22 02:16:08.000000000 +0000 +++ verilator-3.924-alt1.x86_64.rpm.hasher 2021-08-22 13:49:31.728388482 +0000 @@ -67,11 +67,11 @@ File: /usr/bin/verilator 100755 root:root c0ff622851ad838f4b9c7bed2a79d10c -File: /usr/bin/verilator_bin 100755 root:root c9459f85594bfab8f6a5704ca62d6fc5 -File: /usr/bin/verilator_bin_dbg 100755 root:root 225f3ec1ef51fdad5dac9e468df1687f +File: /usr/bin/verilator_bin 100755 root:root 3f8915c9b1c4f803bfe67234ddaa532c +File: /usr/bin/verilator_bin_dbg 100755 root:root 09ec8cbaf15c63b6cf6160eb9f49ebd5 File: /usr/bin/verilator_coverage 100755 root:root 8e7f3d95eaab9fda45708f56722efc48 -File: /usr/bin/verilator_coverage_bin_dbg 100755 root:root 8016498721250250b3c999c62bbf0ce5 +File: /usr/bin/verilator_coverage_bin_dbg 100755 root:root bb42e313d54e3c9966615869a9ab7b1f File: /usr/bin/verilator_profcfunc 100755 root:root 69592b3c659d0eec30e1daec1e24b6ea File: /usr/lib64/pkgconfig/verilator.pc 100644 root:root 3c7888b52bed04f82727b7d967987f77 -File: /usr/share/man/man1/verilator.1.xz 100644 root:root 99c5ed3224bccaa201f56ac823581f8c -File: /usr/share/man/man1/verilator_coverage.1.xz 100644 root:root ac2f6f1fa5d3dca8d77fac4cd44d7981 -File: /usr/share/man/man1/verilator_profcfunc.1.xz 100644 root:root 09ce9fdd25f4b2a2c37016b658f69b07 +File: /usr/share/man/man1/verilator.1.xz 100644 root:root a59515992efec950031c2442a228863a +File: /usr/share/man/man1/verilator_coverage.1.xz 100644 root:root f81eefe53efd7ea9332553d12cade92e +File: /usr/share/man/man1/verilator_profcfunc.1.xz 100644 root:root 74124c45f761f3a284602385f62d769a File: /usr/share/verilator 40755 root:root @@ -108,2 +108,2 @@ File: /usr/share/verilator/include/vltstd/vpi_user.h 100644 root:root 78b65662b05ddc1ca8770b12f43d3d27 -RPMIdentity: af96e646634e54641e293551290763ae94ff2034d78a6a80ae6478b2273271afca59b0a2d58b531072031c13f818a5f3d6e7b30250de5e5f6975dbaeccce262f +RPMIdentity: 03b0edc89e91ac59b18aa26d9dbaae94da6c36c22bd3f29aa932bfaeae4f2d51e3bb14f09e082d372e352eb70e49e27ad340d4e91db48ae8eb15dd1dce79344e --- verilator-debuginfo-3.924-alt1.x86_64.rpm.repo 2018-06-22 02:16:08.000000000 +0000 +++ verilator-debuginfo-3.924-alt1.x86_64.rpm.hasher 2021-08-22 13:49:31.807389251 +0000 @@ -1,10 +1,10 @@ -/usr/lib/debug/.build-id/5d 40755 root:root -/usr/lib/debug/.build-id/5d/437a6d7e806eb7f5a8c1ac27625b327b55b898 120777 root:root ../../../../bin/verilator_bin_dbg -/usr/lib/debug/.build-id/5d/437a6d7e806eb7f5a8c1ac27625b327b55b898.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug -/usr/lib/debug/.build-id/c3 40755 root:root -/usr/lib/debug/.build-id/c3/e2fbf649c6f54d72ecd2466d9b9e7194645967 120777 root:root ../../../../bin/verilator_bin -/usr/lib/debug/.build-id/c3/e2fbf649c6f54d72ecd2466d9b9e7194645967.debug 120777 root:root ../../usr/bin/verilator_bin.debug -/usr/lib/debug/.build-id/d3 40755 root:root -/usr/lib/debug/.build-id/d3/7accbd21d2e48319aecea6a859d64592d987db 120777 root:root ../../../../bin/verilator_coverage_bin_dbg -/usr/lib/debug/.build-id/d3/7accbd21d2e48319aecea6a859d64592d987db.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug +/usr/lib/debug/.build-id/20 40755 root:root +/usr/lib/debug/.build-id/20/38be8ad01768b7531aa735e9a6d96fa20be40a 120777 root:root ../../../../bin/verilator_bin_dbg +/usr/lib/debug/.build-id/20/38be8ad01768b7531aa735e9a6d96fa20be40a.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +/usr/lib/debug/.build-id/4c 40755 root:root +/usr/lib/debug/.build-id/4c/8ab5202ba5499fda83e95831f72ef9b8cc73e3 120777 root:root ../../../../bin/verilator_bin +/usr/lib/debug/.build-id/4c/8ab5202ba5499fda83e95831f72ef9b8cc73e3.debug 120777 root:root ../../usr/bin/verilator_bin.debug +/usr/lib/debug/.build-id/5b 40755 root:root +/usr/lib/debug/.build-id/5b/1a8bed9278c04c9f99a7081ce30d32d7ef867a 120777 root:root ../../../../bin/verilator_coverage_bin_dbg +/usr/lib/debug/.build-id/5b/1a8bed9278c04c9f99a7081ce30d32d7ef867a.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root @@ -223,14 +223,14 @@ Provides: verilator-debuginfo = 3.924-alt1 -File: /usr/lib/debug/.build-id/5d 40755 root:root -File: /usr/lib/debug/.build-id/5d/437a6d7e806eb7f5a8c1ac27625b327b55b898 120777 root:root ../../../../bin/verilator_bin_dbg -File: /usr/lib/debug/.build-id/5d/437a6d7e806eb7f5a8c1ac27625b327b55b898.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug -File: /usr/lib/debug/.build-id/c3 40755 root:root -File: /usr/lib/debug/.build-id/c3/e2fbf649c6f54d72ecd2466d9b9e7194645967 120777 root:root ../../../../bin/verilator_bin -File: /usr/lib/debug/.build-id/c3/e2fbf649c6f54d72ecd2466d9b9e7194645967.debug 120777 root:root ../../usr/bin/verilator_bin.debug -File: /usr/lib/debug/.build-id/d3 40755 root:root -File: /usr/lib/debug/.build-id/d3/7accbd21d2e48319aecea6a859d64592d987db 120777 root:root ../../../../bin/verilator_coverage_bin_dbg -File: /usr/lib/debug/.build-id/d3/7accbd21d2e48319aecea6a859d64592d987db.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug -File: /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root dfd825dcd3978a0410166de723690c66 -File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug 100644 root:root 1a6b2c4fceac80159a4777e95105667f -File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug 100644 root:root a62c0ee6bf7de6a15883554c1ef665e4 +File: /usr/lib/debug/.build-id/20 40755 root:root +File: /usr/lib/debug/.build-id/20/38be8ad01768b7531aa735e9a6d96fa20be40a 120777 root:root ../../../../bin/verilator_bin_dbg +File: /usr/lib/debug/.build-id/20/38be8ad01768b7531aa735e9a6d96fa20be40a.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +File: /usr/lib/debug/.build-id/4c 40755 root:root +File: /usr/lib/debug/.build-id/4c/8ab5202ba5499fda83e95831f72ef9b8cc73e3 120777 root:root ../../../../bin/verilator_bin +File: /usr/lib/debug/.build-id/4c/8ab5202ba5499fda83e95831f72ef9b8cc73e3.debug 120777 root:root ../../usr/bin/verilator_bin.debug +File: /usr/lib/debug/.build-id/5b 40755 root:root +File: /usr/lib/debug/.build-id/5b/1a8bed9278c04c9f99a7081ce30d32d7ef867a 120777 root:root ../../../../bin/verilator_coverage_bin_dbg +File: /usr/lib/debug/.build-id/5b/1a8bed9278c04c9f99a7081ce30d32d7ef867a.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug +File: /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root 002a0556f9e64c5ac4b083d56fb7f4af +File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug 100644 root:root b97576f392b6711f7f4a75ba89c578a6 +File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug 100644 root:root 47a075c7be39a1d20f58a70aa2a482f6 File: /usr/src/debug/verilator-3.924 40755 root:root @@ -434,6 +434,6 @@ File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3Const__gen.cpp 100644 root:root d1a6aa1b80738c5d6fbe055b96f7d927 -File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3Lexer_pregen.yy.cpp 100644 root:root f49366800998657d7f7eeee2a448908a -File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3ParseBison.h 100644 root:root bbfb2d0f16f5bddb847f8daf0690a429 -File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3PreLex_pregen.yy.cpp 100644 root:root 1d8bf9fd03a6de090fb241e9ca1bfa7b +File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3Lexer_pregen.yy.cpp 100644 root:root 877c15686f179e5f2fe77ab72081f0a4 +File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3ParseBison.h 100644 root:root 3b425724cf024f991f2d7e2ad4cef19e +File: /usr/src/debug/verilator-3.924/src/obj_dbg/V3PreLex_pregen.yy.cpp 100644 root:root 361a7c88e41a14be92bb4cd0af11d123 File: /usr/src/debug/verilator-3.924/src/verilog.l 100644 root:root 14c6268ada62aed5bccb39354ef55ec0 -RPMIdentity: 6523b512acf019d93bef3968f4fae604e6b262284d6155d55922af24e23bafbc8548ff5aa861ff0e56b9dc5f368b13dbe9d9b64819ccab57914d1d95c2980500 +RPMIdentity: f917844bb060dd73ad395a50f915a9690d090f91fe362e2f1b3b16865680f6add9dc77cd0edd4e41e62538cf49c287a83c3113b23f91c09edbac70854db257f5 --- verilator-doc-3.924-alt1.noarch.rpm.repo 2018-06-22 02:16:08.000000000 +0000 +++ verilator-doc-3.924-alt1.noarch.rpm.hasher 2021-08-22 13:49:31.876389923 +0000 @@ -39,9 +39,9 @@ File: /usr/share/doc/verilator-doc-3.924/README 100644 root:root 85005c8786e29bcb819ee68b0e7f782f -File: /usr/share/doc/verilator-doc-3.924/README.html 100644 root:root b685cc81fab5550be74dc5c3a2125947 -File: /usr/share/doc/verilator-doc-3.924/README.pdf 100644 root:root 0d98140a3a62b35798004468038ed7a6 -File: /usr/share/doc/verilator-doc-3.924/internals.html 100644 root:root d610dfe3008122ad0145ca5a78bb59b8 -File: /usr/share/doc/verilator-doc-3.924/internals.pdf 100644 root:root 504a20f6042614b5722d85efced30685 +File: /usr/share/doc/verilator-doc-3.924/README.html 100644 root:root aa5e6f993a2f4b42a5d7b4e5b81aa03f +File: /usr/share/doc/verilator-doc-3.924/README.pdf 100644 root:root 949465ff6b753749c08962d5942a4195 +File: /usr/share/doc/verilator-doc-3.924/internals.html 100644 root:root 17563f4accea66215cd99546aa81c12e +File: /usr/share/doc/verilator-doc-3.924/internals.pdf 100644 root:root 7cb3b8f1a3fff4aeb37458a92a442b61 File: /usr/share/doc/verilator-doc-3.924/internals.txt 100644 root:root 6703543fbea971b126b325c36adb11be -File: /usr/share/doc/verilator-doc-3.924/verilator.html 100644 root:root e1465473d0cfb03e7c3b1bb24d9f2aac -File: /usr/share/doc/verilator-doc-3.924/verilator.pdf 100644 root:root 5e12c495b62f76fb9d5a741b96e54004 +File: /usr/share/doc/verilator-doc-3.924/verilator.html 100644 root:root db09506dad124f7b46a9f11ff80cc884 +File: /usr/share/doc/verilator-doc-3.924/verilator.pdf 100644 root:root b0d8b5fcd51b862df6b18fd2851aa16f File: /usr/share/doc/verilator-doc-3.924/verilator.txt 100644 root:root 2dbf8e816a1a3ca8181fd031a1df191a @@ -70,2 +70,2 @@ File: /usr/share/doc/verilator/examples/tracing_sc/top.v 100644 root:root 7b1e752a21e930ab020450b8d8c104c0 -RPMIdentity: f0651379433d5b51ed2dbcd0f1598636a4e6df13647d14e19ef8910f6dfd7803be0c45f6ff38cb4d49f74665d76eedad5ea86bf11f8d28b9347ea66cbc43cf4e +RPMIdentity: 389cd100902fc148bde36c3d6aa2a70b5623c2b43dc43030d07f3affa2e1aa35a5c32ff3d972e079e32f6180c0828790719f1d540f679646bfc3acd38cbcfba8