<86>Jun 16 02:03:47 userdel[2237071]: delete user 'rooter' <86>Jun 16 02:03:47 groupadd[2237093]: group added to /etc/group: name=rooter, GID=1856 <86>Jun 16 02:03:47 groupadd[2237093]: group added to /etc/gshadow: name=rooter <86>Jun 16 02:03:47 groupadd[2237093]: new group: name=rooter, GID=1856 <86>Jun 16 02:03:47 useradd[2237106]: new user: name=rooter, UID=1856, GID=1856, home=/root, shell=/bin/bash <86>Jun 16 02:03:47 userdel[2237180]: delete user 'builder' <86>Jun 16 02:03:47 userdel[2237180]: removed group 'builder' owned by 'builder' <86>Jun 16 02:03:47 userdel[2237180]: removed shadow group 'builder' owned by 'builder' <86>Jun 16 02:03:47 groupadd[2237405]: group added to /etc/group: name=builder, GID=1857 <86>Jun 16 02:03:47 groupadd[2237405]: group added to /etc/gshadow: name=builder <86>Jun 16 02:03:47 groupadd[2237405]: new group: name=builder, GID=1857 <86>Jun 16 02:03:47 useradd[2237449]: new user: name=builder, UID=1857, GID=1857, home=/usr/src, shell=/bin/bash <13>Jun 16 02:04:04 rpmi: libpng16-1.6.37-alt1 sisyphus+275734.100.2.1 1624788826 installed <13>Jun 16 02:04:04 rpmi: libexpat-2.5.0-alt1 p10+324220.100.1.1 1688465137 installed <13>Jun 16 02:04:04 rpmi: libjpeg-2:2.1.5.1-alt1.p10.2 p10+347367.100.3.1 1715149001 installed <13>Jun 16 02:04:04 rpmi: perl-HTTP-Date-6.05-alt1 sisyphus+258981.100.1.1 1601542386 installed <13>Jun 16 02:04:04 rpmi: perl-Term-ANSIColor-5.01-alt1 sisyphus+244783.100.1.2 1579747505 installed <13>Jun 16 02:04:04 rpmi: less-530-alt3 p10+320107.600.8.1 1685122362 installed <13>Jun 16 02:04:04 rpmi: tex-common-0.2-alt4 sisyphus+276869.100.1.1 1625246366 installed <13>Jun 16 02:04:04 rpmi: perl-Tie-RefHash-1.40-alt1 sisyphus+260329.100.1.1 1603548550 installed <13>Jun 16 02:04:04 rpmi: perl-IO-stringy-2.111-alt1 1432302202 installed <13>Jun 16 02:04:04 rpmi: perl-Unicode-Normalize-1:5.34.0-alt1 sisyphus+279621.700.1.1 1626629811 installed <13>Jun 16 02:04:04 rpmi: perl-IO-Socket-IP-0.41-alt1 sisyphus+259012.100.1.2 1601553446 installed <13>Jun 16 02:04:04 rpmi: perl-LWP-MediaTypes-6.04-alt1 sisyphus+225468.100.1.1 1553186684 installed <13>Jun 16 02:04:04 rpmi: perl-libnet-1:3.13-alt1 sisyphus+266120.100.1.1 1612961310 installed <13>Jun 16 02:04:04 rpmi: perl-HTML-Tagset-3.20-alt2 1317725093 installed <13>Jun 16 02:04:04 rpmi: perl-Compress-Raw-Zlib-2.202-alt1 p10+303233.200.4.1 1659531316 installed <13>Jun 16 02:04:04 rpmi: libtcl-8.6.11-alt1 sisyphus+277487.100.2.1 1625624065 installed <13>Jun 16 02:04:04 rpmi: libgdbm-1.8.3-alt10 sisyphus+278100.1600.1.1 1626058413 installed <13>Jun 16 02:04:04 rpmi: libpixman-3:0.42.2-alt1 p10+309562.100.3.1 1669140234 installed <13>Jun 16 02:04:04 rpmi: libidn2-2.3.2-alt1 p10+281239.100.3.1 1627673251 installed <13>Jun 16 02:04:04 rpmi: libxxhash-0.8.0-alt2 sisyphus+277476.100.2.1 1625621312 installed <13>Jun 16 02:04:04 rpmi: libICE-1.0.10-alt1 sisyphus+278827.100.1.1 1626220279 installed <13>Jun 16 02:04:04 rpmi: libwebp7-1.3.2-alt1 p10+334597.100.5.1 1701266006 installed <13>Jun 16 02:04:04 rpmi: libpaper-1.1.28-alt1 sisyphus+278439.100.1.1 1626100422 installed <13>Jun 16 02:04:04 rpmi: liblz4-1:1.9.4-alt1 p10+350711.100.1.1 1718009144 installed <13>Jun 16 02:04:04 rpmi: libbrotlicommon-1.0.9-alt2 sisyphus+278430.100.1.2 1626213212 installed <13>Jun 16 02:04:04 rpmi: libbrotlidec-1.0.9-alt2 sisyphus+278430.100.1.2 1626213212 installed <13>Jun 16 02:04:04 rpmi: libbrotlienc-1.0.9-alt2 sisyphus+278430.100.1.2 1626213212 installed <13>Jun 16 02:04:04 rpmi: libgraphite2-1.3.14-alt2.1 sisyphus+279571.100.1.2 1626605111 installed <13>Jun 16 02:04:04 rpmi: libharfbuzz-5.3.1-alt1 p10+311526.40.12.1 1678459109 installed <13>Jun 16 02:04:04 rpmi: libfreetype-2.11.0-alt1 p10+281745.100.1.1 1628002271 installed <13>Jun 16 02:04:04 rpmi: libfontconfig1-2.14.2-alt8 p10+328445.100.1.1 1693560956 installed <13>Jun 16 02:04:04 rpmi: libwoff2-1.0.2-alt2.1 sisyphus+277277.100.1.2 1625464643 installed <13>Jun 16 02:04:04 rpmi: libsystemd-1:249.17-alt2 p10+340218.100.1.1 1707505676 installed <13>Jun 16 02:04:04 rpmi: libdbus-1.14.10-alt1 p10+342527.100.1.1 1710230133 installed <13>Jun 16 02:04:04 rpmi: libavahi-0.8-alt2 sisyphus+279391.100.1.2 1626530679 installed <13>Jun 16 02:04:04 rpmi: libSM-1.2.3-alt1 sisyphus+278820.100.1.1 1626219264 installed <13>Jun 16 02:04:04 rpmi: tcl-8.6.11-alt1 sisyphus+277487.100.2.1 1625624065 installed <13>Jun 16 02:04:04 rpmi: perl-unicore-1:5.34.0-alt1 sisyphus+279621.700.1.1 1626629811 installed <13>Jun 16 02:04:04 rpmi: perl-Net-IDN-Encode-2.500-alt1 sisyphus+279723.3100.1.1 1626649600 installed <13>Jun 16 02:04:04 rpmi: perl-OLE-Storage_Lite-0.20-alt1 sisyphus+243912.100.1.1 1578493389 installed <13>Jun 16 02:04:04 rpmi: perl-autodie-2.34-alt1 sisyphus+265208.100.1.1 1611567479 installed <13>Jun 16 02:04:04 rpmi: perl-Data-Dump-1.25-alt1 sisyphus+276551.100.1.1 1625126880 installed <13>Jun 16 02:04:04 rpmi: perl-File-Listing-6.14-alt1 sisyphus+262735.100.1.1 1606813544 installed <13>Jun 16 02:04:04 rpmi: groff-base-1.22.3-alt2 sisyphus+275306.100.2.1 1624538375 installed <13>Jun 16 02:04:04 rpmi: zziplib-0.13.72-alt1 sisyphus+278032.100.1.2 1625982008 installed <13>Jun 16 02:04:04 rpmi: t1utils-1.42-alt1 sisyphus+278458.100.1.1 1626102085 installed <13>Jun 16 02:04:04 rpmi: ttf2pt1-3.4.4-alt1.qa1 sisyphus+275192.100.2.1 1624905844 installed <13>Jun 16 02:04:04 rpmi: python-sphinx-objects.inv-1:2.3.11.20210701-alt1 sisyphus+277295.100.1.4 1625467657 installed <13>Jun 16 02:04:04 rpmi: perl-X11-Protocol-0.56-alt1.1 1290621401 installed <13>Jun 16 02:04:04 rpmi: perl-TimeDate-2.33-alt1 sisyphus+252901.100.1.1 1591387378 installed <13>Jun 16 02:04:04 rpmi: perl-Text-Unidecode-1.30-alt1 1480505027 installed <13>Jun 16 02:04:04 rpmi: perl-Unicode-Map-0.112-alt8 sisyphus+279723.3600.1.1 1626649989 installed <13>Jun 16 02:04:04 rpmi: perl-Math-Complex-1.59-alt1 1334229876 installed <13>Jun 16 02:04:04 rpmi: perl-Locale-Maketext-Simple-0.21-alt3 1321333616 installed <13>Jun 16 02:04:04 rpmi: perl-IPC-System-Simple-1.30-alt1 sisyphus+248544.100.1.1 1585154078 installed <13>Jun 16 02:04:04 rpmi: perl-IPC-Run3-0.048-alt1 1410690027 installed <13>Jun 16 02:04:04 rpmi: psutils-2:2.06-alt1 sisyphus+277681.100.1.1 1625747630 installed <13>Jun 16 02:04:05 rpmi: perl-Try-Tiny-0.30-alt1 1514318058 installed <13>Jun 16 02:04:05 rpmi: perl-File-Which-1.27-alt1 sisyphus+271986.100.1.1 1621196035 installed <13>Jun 16 02:04:05 rpmi: perl-File-Copy-Recursive-0.45-alt1 sisyphus+235291.100.1.1 1564606222 installed <13>Jun 16 02:04:05 rpmi: perl-Digest-SHA1-2.13-alt5.2 sisyphus+279723.3400.1.1 1626649913 installed <13>Jun 16 02:04:05 rpmi: perl-Digest-Perl-MD5-1.9-alt1 1394057208 installed <13>Jun 16 02:04:05 rpmi: perl-Crypt-RC4-2.02-alt1 1319549646 installed <13>Jun 16 02:04:05 rpmi: perl-Term-Cap-1.17-alt1 1445018869 installed <13>Jun 16 02:04:05 rpmi: perl-Pod-Escapes-1.07-alt1 1418767892 installed <13>Jun 16 02:04:05 rpmi: perl-IO-String-1.08-alt2 1321677915 installed <13>Jun 16 02:04:05 rpmi: perl-Filter-1.60-alt1 sisyphus+279723.100.1.1 1626648797 installed <13>Jun 16 02:04:05 rpmi: perl-Encode-3.10-alt1 sisyphus+279723.200.1.1 1626648866 installed <13>Jun 16 02:04:05 rpmi: perl-URI-5.09-alt1 sisyphus+267398.100.1.1 1614944505 installed <13>Jun 16 02:04:05 rpmi: perl-HTML-Parser-3.76-alt1 sisyphus+279723.500.1.1 1626648959 installed <13>Jun 16 02:04:05 rpmi: perl-WWW-RobotRules-6.02-alt1 1329756211 installed <13>Jun 16 02:04:05 rpmi: perl-Pod-Simple-3.43-alt1 sisyphus+276561.100.1.1 1625127752 installed <13>Jun 16 02:04:05 rpmi: perl-Pod-Usage-2.01-alt1 sisyphus+277175.100.1.1 1625408247 installed <13>Jun 16 02:04:05 rpmi: perl-podlators-4.14-alt1 sisyphus+277178.100.1.2 1625426264 installed <13>Jun 16 02:04:05 rpmi: perl-Encode-Locale-1.05-alt1 1444608613 installed <13>Jun 16 02:04:05 rpmi: perl-IO-HTML-1.004-alt1 sisyphus+258983.100.1.1 1601542619 installed <13>Jun 16 02:04:05 rpmi: perl-Text-CSV_XS-1.46-alt1 sisyphus+279723.3500.1.1 1626649958 installed <13>Jun 16 02:04:05 rpmi: perl-Spreadsheet-ParseExcel-1:0.66-alt1 p10+347856.100.1.1 1715507164 installed <13>Jun 16 02:04:05 rpmi: perl-Compress-Raw-Bzip2-2.201-alt1 p10+303233.240.4.1 1659531335 installed <13>Jun 16 02:04:05 rpmi: perl-IO-Compress-2.201-alt1 p10+303233.300.4.1 1659531378 installed <13>Jun 16 02:04:05 rpmi: perl-HTTP-Message-6.33-alt1 sisyphus+276556.100.1.1 1625127547 installed <13>Jun 16 02:04:05 rpmi: perl-HTTP-Cookies-6.10-alt1 sisyphus+264349.100.1.1 1609891183 installed <13>Jun 16 02:04:05 rpmi: perl-Net-HTTP-6.21-alt1 sisyphus+268278.100.1.1 1616563972 installed <13>Jun 16 02:04:05 rpmi: perl-HTML-Form-6.07-alt1 sisyphus+246847.100.1.1 1582555741 installed <13>Jun 16 02:04:05 rpmi: perl-HTTP-Negotiate-6.01-alt1 1329760563 installed <13>Jun 16 02:04:05 rpmi: perl-libwww-6.55-alt1 sisyphus+278038.4100.1.2 1625984005 installed <13>Jun 16 02:04:05 rpmi: perl-HTML-Formatter-2.16-alt2 p10+306603.100.2.1 1663686776 installed <13>Jun 16 02:04:05 rpmi: perl-HTML-Tree-5.07-alt1 sisyphus+277105.100.1.1 1625402682 installed <13>Jun 16 02:04:05 rpmi: perl-XML-Parser-2.46-alt1 sisyphus+279723.1300.1.1 1626649131 installed <13>Jun 16 02:04:05 rpmi: perl-XML-Twig-3.52-alt1 sisyphus+277116.100.1.2 1625410693 installed <13>Jun 16 02:04:05 rpmi: perl-Net-DBus-1.2.0-alt1 sisyphus+279723.2700.1.1 1626649518 installed <13>Jun 16 02:04:05 rpmi: perl-XML-XPath-1.44-alt1 sisyphus.215541.100 1540451758 installed <13>Jun 16 02:04:05 rpmi: perl-WWW-Mechanize-2.03-alt1 sisyphus+277177.100.1.2 1625426034 installed <13>Jun 16 02:04:05 rpmi: perl-IO-Zlib-1.11-alt1 sisyphus+258984.100.1.1 1601542681 installed <13>Jun 16 02:04:05 rpmi: perl-Archive-Tar-2.38-alt1 sisyphus+277157.100.1.1 1625406890 installed <13>Jun 16 02:04:05 rpmi: perl-Algorithm-Diff-1:1.201-alt1 sisyphus+263447.100.1.1 1607956595 installed <13>Jun 16 02:04:05 rpmi: lua5.3-5.3.5-alt3 sisyphus+278158.1000.1.1 1626050401 installed <13>Jun 16 02:04:05 rpmi: libxslt-1.1.34-alt3 sisyphus+275636.100.1.1 1624616833 installed <13>Jun 16 02:04:05 rpmi: libtexlua5-2021-alt1_2 p10+327234.100.2.3 1692640340 installed <13>Jun 16 02:04:05 rpmi: libteckit-2.5.1-alt2.1 sisyphus+275250.100.2.1 1624906328 installed <13>Jun 16 02:04:05 rpmi: libteckit-utils-2.5.1-alt2.1 sisyphus+275250.100.2.1 1624906328 installed <13>Jun 16 02:04:05 rpmi: libsynctex2-2021-alt1_2 p10+327234.100.2.3 1692640340 installed <13>Jun 16 02:04:05 rpmi: libqqwing-1.3.4-alt2 sisyphus+275255.100.2.1 1624562960 installed <13>Jun 16 02:04:05 rpmi: qqwing-1.3.4-alt2 sisyphus+275255.100.2.1 1624562960 installed <13>Jun 16 02:04:05 rpmi: libpotrace-1.16-alt1 sisyphus+275746.100.1.1 1624752901 installed <13>Jun 16 02:04:05 rpmi: libpipeline-1.5.3-alt1_1 sisyphus+278011.100.1.2 1625975462 installed <13>Jun 16 02:04:05 rpmi: man-db-2.9.0-alt3 sisyphus+278019.100.1.2 1625976989 installed <13>Jun 16 02:04:05 rpmi: libkpathsea6-2021-alt1_2 p10+327234.100.2.3 1692640340 installed <13>Jun 16 02:04:05 rpmi: libptexenc1-2021-alt1_2 p10+327234.100.2.3 1692640340 installed <13>Jun 16 02:04:06 rpmi: libicu69-1:6.9.1-alt2 sisyphus+277632.100.1.1 1625726150 installed <13>Jun 16 02:04:06 rpmi: libnuma-2.0.14-alt2 sisyphus+278485.100.1.1 1626104244 installed <13>Jun 16 02:04:06 rpmi: libx265-199-3.5-alt1.1 sisyphus+277560.100.1.1 1625697230 installed <13>Jun 16 02:04:06 rpmi: shared-mime-info-2.2-alt1 p10+305247.100.2.1 1661236019 installed <13>Jun 16 02:04:06 rpmi: libgdk-pixbuf-locales-2.42.6-alt1 sisyphus+277964.100.1.1 1625927113 installed <13>Jun 16 02:04:06 rpmi: libde265-1.0.15-alt1 p10+339469.100.1.1 1706697156 installed <13>Jun 16 02:04:06 rpmi: libaom3-3.1.1-alt1 sisyphus+279697.100.2.1 1626678061 installed <13>Jun 16 02:04:06 rpmi: hd2u-1.0.3-alt2 sisyphus+275312.100.2.1 1624906676 installed <13>Jun 16 02:04:06 rpmi: gsettings-desktop-schemas-data-40.0-alt1 sisyphus+268501.300.4.2 1617041783 installed <13>Jun 16 02:04:06 rpmi: libgio-2.68.4-alt4 p10+296885.100.1.1 1647705736 installed <13>Jun 16 02:04:06 rpmi: gsettings-desktop-schemas-40.0-alt1 sisyphus+268501.300.4.2 1617041783 installed <13>Jun 16 02:04:06 rpmi: libpcre2-10.42-alt1 p10+326212.100.2.1 1691762916 installed <13>Jun 16 02:04:06 rpmi: publicsuffix-list-dafsa-20240415-alt1 p10+345250.100.1.1 1713270741 installed <13>Jun 16 02:04:06 rpmi: libpsl-0.21.5-alt1 p10+345385.100.1.1 1713430418 installed <13>Jun 16 02:04:06 rpmi: libnghttp2-1.61.0-alt1 p10+344447.40.1.1 1712304236 installed <13>Jun 16 02:04:06 rpmi: openldap-common-2.4.59-alt1.p10.2 p10+322965.300.3.1 1687183733 installed <13>Jun 16 02:04:06 rpmi: libntlm-1.5-alt1 sisyphus+278100.3300.1.1 1626058899 installed <13>Jun 16 02:04:06 rpmi: libidn-1.37-alt1 sisyphus+278604.100.1.1 1626095122 installed <13>Jun 16 02:04:06 rpmi: libedit3-3.1.20191231-alt1 sisyphus+278505.100.1.1 1626106374 installed <13>Jun 16 02:04:06 rpmi: libX11-locales-3:1.8.7-alt1 p10+330924.200.2.1 1697304232 installed <13>Jun 16 02:04:06 rpmi: libXdmcp-1.1.3-alt1 sisyphus+278816.100.1.1 1626219231 installed <13>Jun 16 02:04:06 rpmi: libXau-1.0.9-alt1 sisyphus+278247.100.1.1 1626080736 installed <13>Jun 16 02:04:06 rpmi: libxcb-1.14-alt1 sisyphus+277968.100.1.3 1625952322 installed <13>Jun 16 02:04:06 rpmi: libX11-3:1.8.7-alt1 p10+330924.200.2.1 1697304232 installed <13>Jun 16 02:04:06 rpmi: libXt-1.2.1-alt1 sisyphus+265202.200.1.1 1611565457 installed <13>Jun 16 02:04:06 rpmi: libXext-1.3.4-alt1 sisyphus+278817.100.1.3 1626262596 installed <13>Jun 16 02:04:06 rpmi: libXmu-1.1.3-alt1 sisyphus+278818.100.1.3 1626263295 installed <13>Jun 16 02:04:06 rpmi: libXpm-3.5.17-alt1 p10+330924.100.2.1 1697304173 installed <13>Jun 16 02:04:06 rpmi: libXrender-0.9.10-alt1 sisyphus+278480.100.1.2 1626227740 installed <13>Jun 16 02:04:06 rpmi: libXft-2.3.3-alt1 sisyphus+278258.100.1.2 1626135708 installed <13>Jun 16 02:04:06 rpmi: libtk-8.6.11.1-alt1 sisyphus+279337.100.1.2 1626510916 installed <13>Jun 16 02:04:06 rpmi: tk-8.6.11.1-alt1 sisyphus+279337.100.1.2 1626510916 installed <13>Jun 16 02:04:07 rpmi: perl-Tk-804.036-alt1 sisyphus+279723.3300.1.1 1626649879 installed <13>Jun 16 02:04:07 rpmi: libcairo-1:1.16.0-alt2 p10+312192.100.6.1 1672989606 installed <13>Jun 16 02:04:07 rpmi: libXaw-1.0.12-alt1 sisyphus+276069.100.1.1 1624815410 installed <13>Jun 16 02:04:07 rpmi: xset-1.2.4-alt1 sisyphus+275497.100.2.2 1624918317 installed <13>Jun 16 02:04:07 rpmi: xprop-1.2.5-alt1 sisyphus+279025.100.1.1 1626354198 installed <13>Jun 16 02:04:07 rpmi: xdg-utils-1.1.3-alt12 p10+303147.200.3.1 1657632990 installed <13>Jun 16 02:04:07 rpmi: libjbig2.1-2.1-alt2 p10+327649.100.4.1 1693857180 installed <13>Jun 16 02:04:07 rpmi: libdeflate-1.10-alt1 p10+300002.40.4.1 1652888688 installed <13>Jun 16 02:04:07 rpmi: libtiff5-4.4.0-alt2 p10+327649.400.4.1 1693857754 installed <13>Jun 16 02:04:07 rpmi: libgdk-pixbuf-2.42.6-alt1 sisyphus+277964.100.1.1 1625927113 installed <13>Jun 16 02:04:07 rpmi: libheif-1.17.6-alt1 p10+339469.200.4.1 1706983469 installed <13>Jun 16 02:04:07 rpmi: libgd3-2.3.2-alt1 sisyphus+279240.100.1.1 1626460055 installed <13>Jun 16 02:04:07 rpmi: libopenjpeg2.0-2.5.0-alt1 p10+300002.100.4.1 1652888730 installed <13>Jun 16 02:04:07 rpmi: libverto-0.3.2-alt1_1 sisyphus+279289.100.1.3 1626493868 installed <13>Jun 16 02:04:07 rpmi: liblmdb-0.9.23-alt1 sisyphus+275369.100.1.2 1624493869 installed <13>Jun 16 02:04:07 rpmi: libkeyutils-1.6.3-alt1 sisyphus+266061.100.1.1 1612919566 installed <13>Jun 16 02:04:07 rpmi: libcom_err-1.46.2.0.16.f114-alt1 sisyphus+278100.1000.1.1 1626056805 installed <13>Jun 16 02:04:07 rpmi: xml-utils-1:2.9.12-alt1.p10.1 p10+314068.100.3.1 1675354348 installed <13>Jun 16 02:04:07 rpmi: fontconfig-2.14.2-alt8 p10+328445.100.1.1 1693560956 installed Updating fonts cache: <29>Jun 16 02:04:08 fontconfig: Updating fonts cache: succeeded [ DONE ] <13>Jun 16 02:04:08 rpmi: fonts-type1-urw-3:1.0.7pre44-alt3 sisyphus+224082.100.2.1 1552406640 installed <13>Jun 16 02:04:08 rpmi: gdb-common-10.1-alt1 sisyphus+279104.100.1.1 1626378773 installed <13>Jun 16 02:04:08 rpmi: gcc-c++-common-1.4.27-alt1 sisyphus+278099.1300.1.1 1626028636 installed <13>Jun 16 02:04:09 rpmi: libstdc++10-devel-10.3.1-alt2 sisyphus+277353.100.2.1 1625525890 installed <13>Jun 16 02:04:09 rpmi: gcc10-c++-10.3.1-alt2 sisyphus+277353.100.2.1 1625525890 installed <13>Jun 16 02:04:09 rpmi: ed-1:0.2-alt10 sisyphus+278100.1100.1.1 1626056843 installed <13>Jun 16 02:04:09 rpmi: diffstat-1.64-alt1 sisyphus+278100.700.1.1 1626056683 installed <13>Jun 16 02:04:09 rpmi: libdialog-1.3.20171209-alt1 sisyphus+275055.100.1.1 1624395459 installed <13>Jun 16 02:04:09 rpmi: dialog-1.3.20171209-alt1 sisyphus+275055.100.1.1 1624395459 installed <13>Jun 16 02:04:09 rpmi: debuginfod-urls-0.185-alt1 sisyphus+279621.600.1.1 1626628381 installed <13>Jun 16 02:04:09 rpmi: libp11-kit-0.24.1-alt1 p10+305061.100.3.1 1660573397 installed <13>Jun 16 02:04:09 rpmi: libtasn1-4.19.0-alt1 p10+309071.100.2.1 1668522760 installed <13>Jun 16 02:04:09 rpmi: rpm-macros-alternatives-0.5.2-alt1 p10+309129.100.2.1 1668066636 installed <13>Jun 16 02:04:09 rpmi: alternatives-0.5.2-alt1 p10+309129.100.2.1 1668066636 installed <13>Jun 16 02:04:10 rpmi: ca-certificates-2022.09.15-alt1 p10+308004.100.2.1 1665748370 installed <13>Jun 16 02:04:10 rpmi: ca-trust-0.1.6-alt1 p10+344774.100.1.1 1712685728 installed <13>Jun 16 02:04:10 rpmi: p11-kit-trust-0.24.1-alt1 p10+305061.100.3.1 1660573397 installed <13>Jun 16 02:04:10 rpmi: libcrypto1.1-1.1.1w-alt0.p10.1 p10+330586.100.4.1 1697560982 installed <13>Jun 16 02:04:10 rpmi: libssl1.1-1.1.1w-alt0.p10.1 p10+330586.100.4.1 1697560982 installed <13>Jun 16 02:04:10 rpmi: python3-3.9.18-alt1 p10+340781.400.5.1 1709555259 installed <13>Jun 16 02:04:10 rpmi: python3-base-3.9.18-alt1 p10+340781.400.5.1 1709555259 installed <86>Jun 16 02:04:10 groupadd[2276400]: group added to /etc/group: name=_keytab, GID=499 <86>Jun 16 02:04:10 groupadd[2276400]: group added to /etc/gshadow: name=_keytab <86>Jun 16 02:04:11 groupadd[2276400]: new group: name=_keytab, GID=499 <13>Jun 16 02:04:11 rpmi: libkrb5-1.19.4-alt3 p10+338175.100.6.1 1706280757 installed <13>Jun 16 02:04:11 rpmi: python3-module-six-1.16.0-alt1.p10 p10+340092.560.10.1 1707922977 installed <13>Jun 16 02:04:11 rpmi: python3-module-Pygments-2.17.2-alt1 p10+341764.2300.9.1 1710324307 installed <13>Jun 16 02:04:11 rpmi: python3-module-alabaster-0.7.6-alt3 sisyphus+271943.300.1.1 1621160028 installed <13>Jun 16 02:04:11 rpmi: python3-module-genshi-0.7.4-alt2 sisyphus+275930.100.2.1 1624873830 installed <13>Jun 16 02:04:11 rpmi: libcups-2.4.7-alt2 p10+333093.100.2.1 1699595808 installed <13>Jun 16 02:04:12 rpmi: libgs-10.01.1-alt2 p10+337969.400.5.1 1707836890 installed <13>Jun 16 02:04:13 rpmi: ghostscript-common-10.01.1-alt2 p10+337969.400.5.1 1707836890 installed <13>Jun 16 02:04:13 rpmi: ghostscript-classic-10.01.1-alt2 p10+337969.400.5.1 1707836890 installed <13>Jun 16 02:04:13 rpmi: ghostscript-module-X-10.01.1-alt2 p10+337969.400.5.1 1707836890 installed <13>Jun 16 02:04:13 rpmi: libgsasl-1.8.0-alt3 sisyphus+275307.100.1.2 1624478543 installed <86>Jun 16 02:04:13 groupadd[2277161]: group added to /etc/group: name=sasl, GID=498 <86>Jun 16 02:04:13 groupadd[2277161]: group added to /etc/gshadow: name=sasl <86>Jun 16 02:04:13 groupadd[2277161]: new group: name=sasl, GID=498 <13>Jun 16 02:04:13 rpmi: libsasl2-3-2.1.27-alt2.1 sisyphus+278407.100.1.3 1626205817 installed <13>Jun 16 02:04:13 rpmi: libldap-2.4.59-alt1.p10.2 p10+322965.300.3.1 1687183733 installed <13>Jun 16 02:04:13 rpmi: libpython3-3.9.18-alt1 p10+340781.400.5.1 1709555259 installed <13>Jun 16 02:04:13 rpmi: python3-module-pytz-1:2021.1-alt1 sisyphus+267903.400.1.1 1615896636 installed <13>Jun 16 02:04:14 rpmi: python3-module-babel-1:2.9.0-alt1 sisyphus+268784.200.2.1 1617263310 installed <13>Jun 16 02:04:14 rpmi: python3-module-pycparser-2.20-alt2 sisyphus+278697.200.1.1 1626167452 installed <13>Jun 16 02:04:14 rpmi: python3-module-cffi-1.14.5-alt2 sisyphus+279395.100.1.2 1626532846 installed <13>Jun 16 02:04:14 rpmi: python3-module-cryptography-41.0.7-alt0.p10.1 p10+336509.100.11.1 1706333950 installed <13>Jun 16 02:04:14 rpmi: python3-module-openssl-23.2.0-alt2 p10+340922.540.5.1 1708214779 installed <13>Jun 16 02:04:14 rpmi: python3-module-chardet-1:3.0.4-alt2 sisyphus+275804.100.1.1 1624794263 installed <13>Jun 16 02:04:14 rpmi: python3-module-webencodings-0.5.1-alt2 sisyphus+276020.100.1.1 1624812421 installed <13>Jun 16 02:04:14 rpmi: python3-module-html5lib-1:1.1-alt1 sisyphus+278096.120.5.1 1626086978 installed <13>Jun 16 02:04:14 rpmi: python3-module-lxml-4.9.3-alt1 p10+329617.100.2.1 1695317778 installed <13>Jun 16 02:04:14 rpmi: python3-module-cssselect-0.9.1-alt3 sisyphus+270533.2600.6.1 1619684675 installed <13>Jun 16 02:04:14 rpmi: python3-module-docutils-0.17.1-alt1 sisyphus+271898.100.1.1 1621041818 installed <13>Jun 16 02:04:14 rpmi: python3-module-idna-3.2-alt1 sisyphus+274748.100.1.1 1624021080 installed <13>Jun 16 02:04:14 rpmi: python3-module-imagesize-1.1.0-alt1 sisyphus+271943.200.1.1 1621159990 installed <13>Jun 16 02:04:14 rpmi: python3-module-markupsafe-1:2.1.2-alt1 p10+317847.21460.110.1 1687547544 installed <13>Jun 16 02:04:14 rpmi: python3-module-jinja2-3.0.1-alt1.p10.1 p10+341197.520.19.1 1710991350 installed <13>Jun 16 02:04:14 rpmi: python3-module-ndg-0.4.2-alt1.qa1 sisyphus+275837.100.1.2 1624808487 installed <13>Jun 16 02:04:14 rpmi: python3-module-ndg-httpsclient-0.4.2-alt1.qa1 sisyphus+275837.100.1.2 1624808487 installed <13>Jun 16 02:04:14 rpmi: python3-module-ntlm-1.1.0-alt1.2 sisyphus+275841.100.1.1 1624797814 installed <13>Jun 16 02:04:14 rpmi: python3-module-urllib3-2:1.26.17-alt0.p10.1 p10+336509.200.11.1 1706333977 installed <13>Jun 16 02:04:14 rpmi: python3-module-requests-2.25.1-alt2 sisyphus+267359.100.1.1 1614881487 installed <13>Jun 16 02:04:14 rpmi: python3-module-packaging-23.0-alt1 p10+325520.240.7.1 1691156260 installed <13>Jun 16 02:04:14 rpmi: python3-module-pkg_resources-1:57.4.0-alt1 p10+281549.200.4.1 1628026198 installed <13>Jun 16 02:04:14 rpmi: python3-module-snowballstemmer-1.2.0-alt2.1 sisyphus+271943.400.1.1 1621160075 installed <13>Jun 16 02:04:14 rpmi: python3-module-sphinxcontrib-applehelp-1.0.2-alt1 sisyphus+276002.100.2.2 1624878023 installed <13>Jun 16 02:04:14 rpmi: python3-module-sphinxcontrib-devhelp-1.0.2-alt1 sisyphus+276003.100.2.2 1624879024 installed <13>Jun 16 02:04:14 rpmi: python3-module-sphinxcontrib-htmlhelp-2.0.0-alt2 p10+317847.21640.110.1 1687547747 installed <13>Jun 16 02:04:14 rpmi: python3-module-sphinxcontrib-jsmath-1.0.1-alt1 sisyphus+276004.100.1.1 1624811634 installed <13>Jun 16 02:04:14 rpmi: python3-module-sphinxcontrib-qthelp-1.0.3-alt1 sisyphus+276005.100.2.2 1624879764 installed <13>Jun 16 02:04:14 rpmi: python3-module-sphinxcontrib-serializinghtml-1.1.5-alt2 p10+317847.21660.110.1 1687547770 installed <13>Jun 16 02:04:15 rpmi: python3-module-sphinx-1:4.0.2-alt2 p10+317847.21740.110.1 1687547911 installed <13>Jun 16 02:04:15 rpmi: tests-for-installed-python3-pkgs-0.1.22-alt1 p10+325520.200.7.1 1691156214 installed <13>Jun 16 02:04:15 rpmi: perl-Net-SSLeay-1.90-alt1 sisyphus+279723.3000.1.1 1626649564 installed <13>Jun 16 02:04:15 rpmi: perl-IO-Socket-SSL-2.071-alt1 sisyphus+272630.100.1.1 1622111829 installed <13>Jun 16 02:04:15 rpmi: perl-Net-HTTPS-6.21-alt1 sisyphus+268278.100.1.1 1616563972 installed <13>Jun 16 02:04:15 rpmi: perl-LWP-Protocol-https-6.10-alt1 sisyphus+263916.100.1.3 1608937434 installed <13>Jun 16 02:04:15 rpmi: openssh-common-7.9p1-alt4.p10.6 p10+347647.100.1.1 1715086164 installed <86>Jun 16 02:04:15 groupadd[2278151]: group added to /etc/group: name=sshagent, GID=497 <86>Jun 16 02:04:15 groupadd[2278151]: group added to /etc/gshadow: name=sshagent <86>Jun 16 02:04:15 groupadd[2278151]: new group: name=sshagent, GID=497 <13>Jun 16 02:04:15 rpmi: openssh-clients-7.9p1-alt4.p10.6 p10+347647.100.1.1 1715086164 installed <13>Jun 16 02:04:15 rpmi: rsync-3.2.7-alt1 p10+313851.100.1.1 1674286799 installed <13>Jun 16 02:04:15 rpmi: libssh2-1.11.0-alt2 p10+339351.200.2.1 1706598963 installed <13>Jun 16 02:04:15 rpmi: libcurl-8.7.1-alt2 p10+345770.100.1.1 1713972241 installed <13>Jun 16 02:04:15 rpmi: git-core-2.42.2-alt1 p10+350723.100.3.1 1718390536 installed <13>Jun 16 02:04:15 rpmi: libdebuginfod-0.185-alt1 sisyphus+279621.600.1.1 1626628381 installed <13>Jun 16 02:04:15 rpmi: gambit-4.9.3-alt2 sisyphus+278823.100.1.1 1626220385 installed <13>Jun 16 02:04:15 rpmi: foomatic-db-engine-4.0.12-alt1 sisyphus+278189.100.1.1 1626076171 installed <13>Jun 16 02:04:16 rpmi: texlive-2021-alt1_2 p10+327234.100.2.3 1692640340 installed <13>Jun 16 02:04:29 rpmi: texlive-collection-basic-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Jun 16 02:04:31 rpmi: texlive-fonts-sources-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Jun 16 02:04:31 rpmi: texlive-texmf-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Jun 16 02:05:21 rpmi: texlive-dist-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Jun 16 02:05:22 rpmi: gdb-10.1-alt1 sisyphus+279104.100.1.1 1626378773 installed <13>Jun 16 02:05:22 rpmi: rpm-build-python3-0.1.22-alt1 p10+325520.200.7.1 1691156214 installed <13>Jun 16 02:05:22 rpmi: python3-module-sphinx-sphinx-build-symlink-0.1-alt1 1375965103 installed <13>Jun 16 02:05:22 rpmi: python3-module-sphinx_rtd_theme-0.5.2-alt1 sisyphus+272856.100.1.1 1622394070 installed <13>Jun 16 02:05:22 rpmi: gcc-c++-10-alt1 sisyphus+263054.200.3.1 1607517515 installed <13>Jun 16 02:05:22 rpmi: flex-2.6.4.0.88.9801-alt1 sisyphus+275295.100.2.3 1624485314 installed Building target platforms: x86_64 Building for target x86_64 Wrote: /usr/src/in/nosrpm/verilator-4.226-alt1.nosrc.rpm (w1.gzdio) Installing verilator-4.226-alt1.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.22472 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf verilator-4.226 + echo 'Source #0 (verilator-4.226.tar):' Source #0 (verilator-4.226.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/verilator-4.226.tar + cd verilator-4.226 + /bin/chmod -c -Rf u+rwX,go-w . + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.22472 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-4.226 + autoconf + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CFLAGS + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CXXFLAGS + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FFLAGS + FCFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FCFLAGS + '[' -n '' ']' ++ printf %s '-pipe -frecord-gcc-switches -Wall -g -O2' ++ sed -r 's/(^|[[:space:]]+)-[^m][^[:space:]]*//g' + ASFLAGS= + export ASFLAGS + export lt_cv_deplibs_check_method=pass_all + lt_cv_deplibs_check_method=pass_all + readlink -e -- ./configure + xargs -ri dirname -- '{}' + xargs -ri find '{}' -type f '(' -name config.sub -or -name config.guess ')' -printf '%h/\n' + sort -u + xargs -rn1 install -pm755 -- /usr/share/gnu-config/config.sub /usr/share/gnu-config/config.guess + ./configure --build=x86_64-alt-linux --host=x86_64-alt-linux --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/lib --localstatedir=/var/lib --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-dependency-tracking --disable-silent-rules --without-included-gettext configure: WARNING: unrecognized options: --without-included-gettext configuring for Verilator 4.226 2022-08-31 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for x86_64-alt-linux-gcc... x86_64-alt-linux-gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether x86_64-alt-linux-gcc accepts -g... yes checking for x86_64-alt-linux-gcc option to accept ISO C89... none needed checking for x86_64-alt-linux-g++... x86_64-alt-linux-g++ checking whether we are using the GNU C++ compiler... yes checking whether x86_64-alt-linux-g++ accepts -g... yes checking for a BSD-compatible install... /bin/install -c compiler is x86_64-alt-linux-g++ --version = x86_64-alt-linux-g++ (GCC) 10.3.1 20210703 (ALT Sisyphus 10.3.1-alt2) checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.7.6 checking for ccache... no checking how to run the C++ preprocessor... x86_64-alt-linux-g++ -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether x86_64-alt-linux-g++ accepts -pg... yes checking whether x86_64-alt-linux-g++ accepts -std=gnu++14... yes checking whether x86_64-alt-linux-g++ accepts -Wextra... yes checking whether x86_64-alt-linux-g++ accepts -Wfloat-conversion... yes checking whether x86_64-alt-linux-g++ accepts -Wlogical-op... yes checking whether x86_64-alt-linux-g++ accepts -Wthread-safety... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ accepts -Wno-char-subscripts... yes checking whether x86_64-alt-linux-g++ accepts -Wno-null-conversion... no checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-unused... yes checking whether x86_64-alt-linux-g++ accepts -Og... yes checking whether x86_64-alt-linux-g++ accepts -ggdb... yes checking whether x86_64-alt-linux-g++ accepts -gz... yes checking whether x86_64-alt-linux-g++ linker accepts -gz... yes checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -fbracket-depth=4096... no checking whether x86_64-alt-linux-g++ accepts -fcf-protection=none... yes checking whether x86_64-alt-linux-g++ accepts -mno-cet... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -Wno-bool-operation... yes checking whether x86_64-alt-linux-g++ accepts -Wno-tautological-bitwise-compare... no checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-sign-compare... yes checking whether x86_64-alt-linux-g++ accepts -Wno-uninitialized... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-but-set-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ linker accepts -mt... no checking whether x86_64-alt-linux-g++ linker accepts -pthread... yes checking whether x86_64-alt-linux-g++ linker accepts -lpthread... yes checking whether x86_64-alt-linux-g++ linker accepts -latomic... yes checking whether x86_64-alt-linux-g++ linker accepts -static-libgcc... yes checking whether x86_64-alt-linux-g++ linker accepts -static-libstdc++... no checking whether x86_64-alt-linux-g++ linker accepts -Xlinker -gc-sections... yes checking whether x86_64-alt-linux-g++ linker accepts -lpthread... yes checking whether x86_64-alt-linux-g++ linker accepts -lbcrypt... no checking whether x86_64-alt-linux-g++ linker accepts -lpsapi... no checking whether x86_64-alt-linux-g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether x86_64-alt-linux-g++ supports C++11... yes checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... no configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_build.h configure: WARNING: unrecognized options: --without-included-gettext Now type 'make' (or sometimes 'gmake') to build Verilator. + make -j16 all info make -C docs html make -C docs verilator.pdf make vl-extract make: Entering directory '/usr/src/RPM/BUILD/verilator-4.226' ------------------------------------------------------------ making verilator in src make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226' make -C src make vl-extract make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src' mkdir -p obj_dbg make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src' mkdir -p obj_opt make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make latex make vl-extract make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src' /usr/bin/python3 ./config_rev . >config_rev.h fatal: not a git repository (or any of the parent directories): .git fatal: not a git repository (or any of the parent directories): .git %Warning: No git revision found in config_rev.py make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make: Entering directory '/usr/src/RPM/BUILD/verilator-4.226' pod2man bin/verilator_coverage verilator_coverage.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --classes make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' /usr/bin/python3 ../astgen -I .. --classes make: Entering directory '/usr/src/RPM/BUILD/verilator-4.226' pod2man bin/verilator verilator.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226' make[3]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. touch vlcovgen.d make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' sphinx-build -M latex "guide" "_build" -c guide Running Sphinx v4.0.2 making output directory... done building [mo]: targets for 0 po files that are out of date building [latex]: all documents updating environment: [new config] 28 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 14%] contributors reading sources... [ 17%] copyright reading sources... [ 21%] deprecations reading sources... [ 25%] environment reading sources... [ 28%] example_cc reading sources... [ 32%] example_common_install reading sources... [ 35%] example_dist reading sources... [ 39%] example_sc reading sources... [ 42%] examples reading sources... [ 46%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 64%] executables reading sources... [ 67%] extensions reading sources... [ 71%] faq reading sources... [ 75%] files reading sources... [ 78%] index reading sources... [ 82%] install reading sources... [ 85%] languages reading sources... [ 89%] overview reading sources... [ 92%] simulating reading sources... [ 96%] verilating reading sources... [100%] warnings looking for now-outdated files... none found pickling environment... done checking consistency... done processing verilator.tex... index overview examples example_cc example_sc example_dist install verilating connecting simulating contributing faq languages extensions executables exe_verilator exe_verilator_coverage exe_verilator_gantt exe_verilator_profcfunc exe_sim warnings files environment deprecations contributors changes copyright resolving references... /usr/src/RPM/BUILD/verilator-4.226/docs/guide/exe_sim.rst:75: WARNING: unknown option: +verilator+prof+exec+window+ done writing... done copying images... [100%] figures/fig_gantt_min.png copying TeX support files... copying TeX support files... done build succeeded, 1 warning. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). python3 bin/vl_sphinx_fix _build make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' python3 bin/vl_sphinx_fix _build make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make -C _build/latex make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' sphinx-build -M html "guide" "_build" -c guide Running Sphinx v4.0.2 making output directory... done building [mo]: targets for 0 po files that are out of date building [html]: targets for 28 source files that are out of date updating environment: [new config] 28 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 14%] contributors reading sources... [ 17%] copyright reading sources... [ 21%] deprecations reading sources... [ 25%] environment reading sources... [ 28%] example_cc reading sources... [ 32%] example_common_install reading sources... [ 35%] example_dist reading sources... [ 39%] example_sc reading sources... [ 42%] examples reading sources... [ 46%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 64%] executables reading sources... [ 67%] extensions reading sources... [ 71%] faq reading sources... [ 75%] files reading sources... [ 78%] index reading sources... [ 82%] install reading sources... [ 85%] languages reading sources... [ 89%] overview reading sources... [ 92%] simulating reading sources... [ 96%] verilating reading sources... [100%] warnings looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done writing output... [ 3%] changes writing output... [ 7%] connecting writing output... [ 10%] contributing writing output... [ 14%] contributors writing output... [ 17%] copyright writing output... [ 21%] deprecations writing output... [ 25%] environment writing output... [ 28%] example_cc writing output... [ 32%] example_common_install writing output... [ 35%] example_dist writing output... [ 39%] example_sc writing output... [ 42%] examples writing output... [ 46%] exe_sim writing output... [ 50%] exe_verilator writing output... [ 53%] exe_verilator_coverage writing output... [ 57%] exe_verilator_gantt writing output... [ 60%] exe_verilator_profcfunc writing output... [ 64%] executables writing output... [ 67%] extensions writing output... [ 71%] faq writing output... [ 75%] files writing output... [ 78%] index writing output... [ 82%] install writing output... [ 85%] languages writing output... [ 89%] overview writing output... [ 92%] simulating writing output... [ 96%] verilating writing output... [100%] warnings /usr/src/RPM/BUILD/verilator-4.226/docs/guide/exe_sim.rst:75: WARNING: unknown option: +verilator+prof+exec+window+ generating indices... done writing additional pages... search done copying images... [100%] figures/fig_gantt_min.png copying static files... done copying extra files... done dumping search index in English (code: en)... done dumping object inventory... done build succeeded, 1 warning. The HTML pages are in _build/html. python3 bin/vl_sphinx_fix _build make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Linking ../../bin/verilator_coverage_bin_dbg... x86_64-alt-linux-g++ -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' /usr/bin/python3 ../astgen -I .. V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs/_build/latex' latexmk -pdf -dvi- -ps- 'verilator.tex' Rc files read: ./latexmkrc Latexmk: This is Latexmk, John Collins, 29 September 2020, version: 4.70b. Rule 'pdflatex': The following rules & subrules became out-of-date: 'pdflatex' ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.141592653-2.6-1.40.22 (TeX Live 2021/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2020-10-01> patch level 4 L3 programming layer <2021-02-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2020/04/10 v1.4m Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/babel.def (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref-langpatches.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] [1] Chapter 1. LaTeX Warning: Hyper reference `examples:examples' on page 1 undefined on input line 142. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 152. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 2 undefined on input line 156. LaTeX Warning: Hyper reference `example_dist:examples-in-the-distribution' on p age 2 undefined on input line 160. LaTeX Warning: Hyper reference `install:installation' on page 2 undefined on in put line 173. (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 3 undefin ed on input line 228. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 3 undefined on input line 233. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 3 undefine d on input line 238. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 3 undefi ned on input line 243. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 3 undefined on input line 245. LaTeX Warning: Hyper reference `files:files-read-written' on page 3 undefined o n input line 262. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 3 undef ined on input line 290. LaTeX Warning: Hyper reference `install:installation' on page 3 undefined on in put line 294. [3] [4] [5] Chapter 3. LaTeX Warning: Hyper reference `install:git-install' on page 6 undefined on inp ut line 446. LaTeX Warning: Hyper reference `install:detailed-build-instructions' on page 6 undefined on input line 461. [6] LaTeX Warning: Hyper reference `install:package-manager-quick-install' on page 7 undefined on input line 498. [7] LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 8 undefined on input line 568. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 8 undefined on input line 569. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 8 undefined on input line 619. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 8 undefined on input line 620. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 8 undefined on input line 620. [8] LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 9 undefined on input line 643. [9] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 10 undefined on input line 747. [10] [11] [12] Chapter 4. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 13 undefine d on input line 925. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 13 undefine d on input line 925. LaTeX Warning: Hyper reference `verilating:c-and-systemc-generation' on page 13 undefined on input line 926. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 13 u ndefined on input line 930. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 13 un defined on input line 935. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 13 undefined on input line 941. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 13 undefine d on input line 953. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 13 undefine d on input line 953. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top-module' on page 13 undefined on input line 963. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 13 und efined on input line 964. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 13 undefi ned on input line 970. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 13 unde fined on input line 971. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 13 undefin ed on input line 976. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 13 undef ined on input line 982. LaTeX Warning: Hyper reference `verilating:gnu-make' on page 13 undefined on in put line 982. LaTeX Warning: Hyper reference `verilating:cmake' on page 13 undefined on input line 983. LaTeX Warning: Hyper reference `simulating:simulating' on page 13 undefined on input line 988. [13] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 14 undefined on input line 1006. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 14 undefined on input line 1008. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 14 undefined on input line 1019. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-hier_block' on page 14 undefined on input line 1023. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 14 u ndefined on input line 1023. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 1 4 undefined on input line 1028. Underfull \hbox (badness 7777) in paragraph at lines 1078--1081 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [14] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 15 undefined on input line 1092. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 15 undefined on input line 1098. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 15 undef ined on input line 1102. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 15 undefined on input line 1147. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1153. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1160. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1170. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1170. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 15 undefined on input line 1171. [15] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 16 undefined on input line 1188. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 16 undef ined on input line 1193. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1195. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1198. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 16 u ndefined on input line 1198. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1199. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1200. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1200. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1201. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1205. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1209. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1215. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1223. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1226. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 16 u ndefined on input line 1247. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 16 un defined on input line 1258. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-2' on page 16 undefined on input line 1262. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 16 und efined on input line 1265. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1269. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 16 undef ined on input line 1273. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 16 undefin ed on input line 1277. [16] LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 17 unde fined on input line 1300. [17] [18] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 19 undefi ned on input line 1534. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 19 unde fined on input line 1535. [19] Chapter 5. LaTeX Warning: Hyper reference `files:files-read-written' on page 20 undefined on input line 1609. [20] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1684--1688 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp->internal->member->lookup \T1/ qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp->rootp->internal->member->l ookup LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 21 undefine d on input line 1697. LaTeX Warning: Hyper reference `connecting:evaluation-loop' on page 21 undefine d on input line 1711. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 21 undefine d on input line 1717. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-pins64' on page 21 u ndefined on input line 1725. [21] [22] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 23 undefined on input line 1892. [23] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 24 undefin ed on input line 1965. [24] [25] [26] Chapter 6. LaTeX Warning: Hyper reference `exe_sim:simulation-runtime-arguments' on page 2 7 undefined on input line 2124. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 27 undefine d on input line 2130. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 27 undefined on input line 2131. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 27 undefined on input line 2131. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 27 unde fined on input line 2131. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 27 undefine d on input line 2131. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 27 undefined on input line 2133. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 27 undefined on input line 2133. LaTeX Warning: Hyper reference `verilating:multithreading' on page 27 undefined on input line 2139. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 27 undefined on input line 2140. Underfull \hbox (badness 5064) in paragraph at lines 2155--2160 []\T1/qtm/m/n/10 The sup-plied $VER-I-LA-TOR_ROOT/include/verilated.mk file use s the OPT, OPT_FAST, OPT_SLOW and LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 2 7 undefined on input line 2172. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 27 unde fined on input line 2179. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 27 und efined on input line 2179. [27] LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 28 undefined o n input line 2215. LaTeX Warning: Hyper reference `simulating:profiling' on page 28 undefined on i nput line 2236. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 28 un defined on input line 2248. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 28 undefined on input line 2253. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 28 undefined on input line 2257. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 28 undefine d on input line 2261. LaTeX Warning: Hyper reference `simulating:coverage-collection' on page 28 unde fined on input line 2267. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 28 un defined on input line 2273. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 28 undefined on input line 2273. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 28 unde fined on input line 2279. [28] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 29 un defined on input line 2293. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 29 undefined on input line 2293. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 29 undefined on input line 2302. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 29 undefined on input line 2304. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 29 undefined on input line 2305. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 29 un defined on input line 2317. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 29 undefined on input line 2317. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 29 undefined on input line 2327. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-max-width' on page 29 undefined on input line 2328. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 29 undefined on input line 2353. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 29 undefined on input line 2354. [29] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 30 undefined on input line 2415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 30 u ndefined on input line 2445. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 30 undefined on input line 2454. Underfull \hbox (badness 10000) in paragraph at lines 2452--2455 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [30] [31 <./fig_gantt_min.png>] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 32 und efined on input line 2531. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 32 und efined on input line 2532. [32] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 33 undefined on input line 2575. LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 33 undefined o n input line 2579. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 33 un defined on input line 2605. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 33 un defined on input line 2614. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-PROFOUTOFDATE' on page 3 3 undefined on input line 2632. [33] [34] Chapter 7. LaTeX Warning: Hyper reference `languages:language-limitations' on page 35 unde fined on input line 2720. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 35 undef ined on input line 2723. [35] [36] [37] Chapter 8. [38] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 39 undef ined on input line 3039. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 39 undefined on input line 3042. [39] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 40 undef ined on input line 3053. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 40 undefin ed on input line 3095. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 40 undef ined on input line 3108. Underfull \hbox (badness 10000) in paragraph at lines 3107--3114 []\T1/qtm/m/n/10 Pass the \T1/txtt/m/sl/10 --trace \T1/qtm/m/n/10 op-tion to Ve r-i-la-tor, and in your top level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/10 , cal l [40] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 41 undefin ed on input line 3154. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 41 u ndefined on input line 3172. [41] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-tracing_off' on page 42 undefined on input line 3219. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 42 undefined on input line 3225. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 42 undefined on input line 3226. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 42 undefined on input line 3286. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 42 unde fined on input line 3294. [42] LaTeX Warning: Hyper reference `connecting:connecting-to-c' on page 43 undefine d on input line 3301. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 43 undefin ed on input line 3317. LaTeX Warning: Hyper reference `connecting:connecting' on page 43 undefined on input line 3336. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 3 undefined on input line 3354. LaTeX Warning: Hyper reference `simulating:benchmarking-optimization' on page 4 3 undefined on input line 3362. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 3 undefined on input line 3375. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 43 undefined on input line 3381. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 43 undefined on input line 3388. [43] LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 44 undefined on input line 3430. [44] [45] Chapter 9. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 46 u ndefined on input line 3512. [46] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 47 un defined on input line 3580. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 47 un defined on input line 3581. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 47 unde fined on input line 3591. [47] Underfull \hbox (badness 5802) in paragraph at lines 3668--3674 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 3668--3674 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes [48] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 49 undefined on input line 3716. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 49 undefined on input line 3725. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 49 undefined on input line 3736. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 49 undefined on input line 3743. [49] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 50 undefined on input line 3805. [50] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 51 undefined on input line 3882. Overfull \hbox (921.56468pt too wide) in paragraph at lines 3895--3898 [] Overfull \hbox (1599.4048pt too wide) in paragraph at lines 3908--3911 [] Overfull \hbox (7.76494pt too wide) in paragraph at lines 3970--3973 [] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 51 undef ined on input line 3977. [51] Overfull \hbox (19.42479pt too wide) in paragraph at lines 4008--4011 [] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 52 undefined on input line 4014. [52] Chapter 10. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__FILE__' on page 53 u ndefined on input line 4051. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__LINE__' on page 53 u ndefined on input line 4062. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 53 undefined on input line 4117. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-3' on page 53 undef ined on input line 4147. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 53 u ndefined on input line 4147. [53] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4156. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4157. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4169. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4170. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4182. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4183. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4195. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4196. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4208. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4209. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4221. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4222. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 54 undefined on input line 4230. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 54 un defined on input line 4241. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 54 un defined on input line 4272. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 54 u ndefined on input line 4273. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 54 undefined on input line 4283. [54] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-IMPERFECTSCH' on page 55 undefined on input line 4310. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clock_enable' on pa ge 55 undefined on input line 4314. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 55 undefin ed on input line 4331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1' on page 55 undef ined on input line 4334. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-2' on page 55 undef ined on input line 4334. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-3' on page 55 undef ined on input line 4348. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 55 un defined on input line 4368. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 55 undefined on input line 4369. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 55 undefined on input line 4381. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-forceable' on page 55 undefined on input line 4391. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 5 5 undefined on input line 4404. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 55 undefined on input line 4407. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 55 unde fined on input line 4418. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-inline' on page 55 undefined on input line 4423. [55] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-5' on page 56 undef ined on input line 4475. [56] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-7' on page 57 undef ined on input line 4541. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-7' on page 57 undef ined on input line 4558. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 57 undefined on input line 4609. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 57 undefined on input line 4642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 57 undefined on input line 4658. [57] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rd' on page 58 undefined on input line 4671. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 58 undefined on input line 4684. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rw' on page 58 undefined on input line 4687. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 58 unde fined on input line 4700. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 58 undefined on input line 4703. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-9' on page 58 undef ined on input line 4734. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-10' on page 58 unde fined on input line 4750. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 58 un defined on input line 4762. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-11' on page 58 unde fined on input line 4793. [58] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 59 undefined on input line 4815. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 5 9 undefined on input line 4816. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 59 undef ined on input line 4816. [59] Chapter 11. [60] Underfull \vbox (badness 10000) detected at line 5031 Underfull \vbox (badness 10000) detected at line 5031 [61] Underfull \vbox (badness 10000) detected at line 5031 Underfull \vbox (badness 10000) detected at line 5031 [62] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 63 undefin ed on input line 5047. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 63 unde fined on input line 5053. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 63 und efined on input line 5053. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 63 und efined on input line 5064. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 63 undefin ed on input line 5071. [63] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog1995ext-ext' on page 64 undefined on input line 5121. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog2001ext-ext' on page 64 undefined on input line 5121. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-systemverilogext-ex t' on page 64 undefined on input line 5122. Underfull \hbox (badness 10000) in paragraph at lines 5119--5123 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/txtt/m/sl/10 +verilog1995ext+\T1/qtm/m/n/10 , LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 64 undefined on input line 5127. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 64 un defined on input line 5127. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 64 undefin ed on input line 5226. [64] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 65 undefine d on input line 5237. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 65 u ndefined on input line 5250. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 65 undefin ed on input line 5281. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 65 undefined on input line 5290. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 65 undefin ed on input line 5313. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 65 undefined on input line 5364. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 65 undefined on input line 5365. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 65 undefined on input line 5365. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 65 undefined on input line 5374. [65] LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 66 undefine d on input line 5394. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-underscore' on pa ge 66 undefined on input line 5404. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 66 undefined on input line 5414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-0' on page 66 undef ined on input line 5423. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 66 unde fined on input line 5443. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug-check' on page 66 undefined on input line 5447. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-treei' on page 66 undefined on input line 5451. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-debug-leak' on page 66 undefined on input line 5455. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5472. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5482. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5488. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5491. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 66 unde fined on input line 5513. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5514. [66] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 67 undefined on input line 5543. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 67 undefined on input line 5546. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 67 undef ined on input line 5571. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 67 undef ined on input line 5572. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 67 undefi ned on input line 5584. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 67 undefined on input line 5593. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 67 u ndefined on input line 5611. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 67 undef ined on input line 5612. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 67 u ndefined on input line 5612. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-treei' on page 67 undefined on input line 5631. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 67 u ndefined on input line 5632. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-defines' on page 6 7 undefined on input line 5662. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-P' on page 67 undefined on input line 5662. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pp-comments' on page 67 undefined on input line 5663. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-error-limit-val ue' on page 67 undefined on input line 5677. [67] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 68 undefined on input line 5710. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 68 undefined on input line 5711. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 68 undefined on input line 5722. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 68 undefined on input line 5722. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 68 un defined on input line 5755. [68] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdbbt' on page 69 undef ined on input line 5997. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 69 undef ined on input line 6006. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 69 undef ined on input line 6008. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 69 undefin ed on input line 6010. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 69 undefined on input line 6019. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 69 undefined on input line 6033. [69] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 70 undefined on input line 6052. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 70 undefined on input line 6053. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 70 undefined on input line 6062. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 70 undefined on input line 6081. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 70 undef ined on input line 6117. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 70 undefined on input line 6147. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 70 und efined on input line 6165. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 70 undefined on input line 6184. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 70 undefined on input line 6184. [70] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 71 undefined on input line 6187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-3' on page 71 undefined on input line 6188. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 71 undefined on input line 6193. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 71 undefi ned on input line 6218. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-sys' on page 71 un defined on input line 6223. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 71 undefined on input line 6223. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6241. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-make' on page 71 undefi ned on input line 6242. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6243. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6252. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 71 undefine d on input line 6296. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 71 undefine d on input line 6296. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 71 unde fined on input line 6306. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-MMD' on page 71 undefin ed on input line 6315. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 71 unde fined on input line 6344. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 71 undefine d on input line 6345. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-inline-mult' on page 71 undefined on input line 6346. [71] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 72 undefin ed on input line 6368. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 72 unde fined on input line 6369. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 2 undefined on input line 6394. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 2 undefined on input line 6413. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 2 undefined on input line 6416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 2 undefined on input line 6416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 2 undefined on input line 6420. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split-cfuncs' on page 72 undefined on input line 6430. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 2 undefined on input line 6434. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 72 undefined on input line 6444. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 7 2 undefined on input line 6459. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-biguint' on pag e 72 undefined on input line 6471. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-uint' on page 7 2 undefined on input line 6483. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 72 und efined on input line 6495. [72] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 73 und efined on input line 6506. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 73 und efined on input line 6516. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 73 undefined on input line 6541. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 73 undefined on input line 6551. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 73 undefin ed on input line 6561. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 73 unde fined on input line 6571. LaTeX Warning: Hyper reference `simulating:profiling' on page 73 undefined on i nput line 6582. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 73 undefined on input line 6585. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 73 unde fined on input line 6585. LaTeX Warning: Hyper reference `simulating:profiling' on page 73 undefined on i nput line 6600. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 73 undefined on input line 6603. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 73 unde fined on input line 6603. LaTeX Warning: Hyper reference `simulating:execution-profiling' on page 73 unde fined on input line 6613. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 73 und efined on input line 6623. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 73 undefined on input line 6623. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 73 undefined on input line 6645. [73] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 74 undefined on input line 6668. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 74 undefined on input line 6673. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 74 undefined on input line 6678. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 74 undefined on input line 6691. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 74 undefined on input line 6692. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 74 undefined on input line 6693. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 74 undefined on input line 6693. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 74 undefined on input line 6713. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_module' o n page 74 undefined on input line 6715. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 74 undefined on input line 6717. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 74 undefin ed on input line 6730. Underfull \hbox (badness 7522) in paragraph at lines 6725--6734 \T1/qtm/m/n/10 De-clares all vari-ables, ports and wires pub-lic as if they had \T1/txtt/m/n/10 /*verilator public_flat_rw @ LaTeX Warning: Hyper reference `exe_verilator:cmdoption-G-name' on page 74 unde fined on input line 6742. [74] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 75 u ndefined on input line 6794. LaTeX Warning: Hyper reference `simulating:save-restore' on page 75 undefined o n input line 6836. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 75 undefine d on input line 6845. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 75 undefine d on input line 6862. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 75 undefine d on input line 6863. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 75 undef ined on input line 6883. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 75 undef ined on input line 6884. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-structs-packed' on page 75 undefined on input line 6895. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 75 un defined on input line 6907. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1800-2017ext-ext' o n page 75 undefined on input line 6917. LaTeX Warning: Hyper reference `verilating:multithreading' on page 75 undefined on input line 6936. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 75 undef ined on input line 6937. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 75 u ndefined on input line 6937. [75] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 76 und efined on input line 6958. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 76 undefined on input line 6982. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 76 und efined on input line 6991. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 76 unde fined on input line 7043. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 76 und efined on input line 7045. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 76 u ndefined on input line 7055. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 76 und efined on input line 7071. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 76 und efined on input line 7072. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 76 undef ined on input line 7081. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 76 undefined on input line 7082. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 76 undefined on input line 7083. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 76 undefined on input line 7084. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 76 undefined on input line 7101. [76] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 77 undef ined on input line 7114. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 77 undefined on input line 7114. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 77 u ndefined on input line 7168. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 77 undefined on input line 7169. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 77 undef ined on input line 7173. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 77 und efined on input line 7173. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 77 undefined on input line 7184. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 77 undefined on input line 7203. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 77 undefined on input line 7213. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-getenv' on page 77 unde fined on input line 7235. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 77 undef ined on input line 7255. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-1995ext-ext' o n page 77 undefined on input line 7266. [77] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-2001ext-ext' o n page 78 undefined on input line 7275. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 78 u ndefined on input line 7309. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-lint' on page 78 undefined on input line 7320. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-style' on page 78 undefined on input line 7321. Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/qtm/m/n/10 Dis-able all lint re-lated warn-ing mes-sages, and all style war n-ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE -Wno-CASEOVE RLAP -Wno-CASEX Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-CASTCONST -Wno-CASEWITHX -Wno-CMPCONST -Wno-COLONPLUS -Wno -ENDLABEL Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-IMPLICIT -Wno-LITENDIAN -Wno-PINCONNECTEMPTY -Wno-PINMISSI NG -Wno-SYNCASYNCNET Underfull \hbox (badness 10000) in paragraph at lines 7410--7416 \T1/qtm/m/n/10 to \T1/txtt/m/n/10 -Wno-DECLFILENAME -Wno-DEFPARAM -Wno-EOFNEWLI NE -Wno-IMPORTSTAR -Wno-INCABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7410--7416 \T1/txtt/m/n/10 -Wno-PINCONNECTEMPTY -Wno-PINNOCONNECT -Wno-SYNCASYNCNET -Wno-U NDRIVEN -Wno-UNUSED [78] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 79 undefined on input line 7426. Underfull \hbox (badness 10000) in paragraph at lines 7445--7452 \T1/txtt/m/n/10 -Wwarn-CASEOVERLAP -Wwarn-CASEX -Wwarn-CASTCONST -Wwarn-CASEWIT HX -Wwarn-CMPCONST Underfull \hbox (badness 10000) in paragraph at lines 7445--7452 \T1/txtt/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDI AN -Wwarn-PINMISSING Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/qtm/m/n/10 En-able all code style re-lated warn-ing mes-sages. This is equi v-a-lent to \T1/txtt/m/n/10 -Wwarn ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/txtt/m/n/10 -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE -Wwarn-IN CABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/txtt/m/n/10 -Wwarn-PINNOCONNECT -Wwarn-SYNCASYNCNET -Wwarn-UNDRIVEN -Wwarn- UNUSED LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 79 undefined on input line 7505. LaTeX Warning: Hyper reference `languages:unknown-states' on page 79 undefined on input line 7550. [79] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 80 undefined on input line 7564. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 80 undefined on input line 7597. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-converge-limit' on page 80 undefined on input line 7603. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 80 un defined on input line 7630. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 80 undefined on input line 7640. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 80 undefined on input line 7641. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 80 undef ined on input line 7641. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 80 undefined on input line 7642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 80 undefined on input line 7642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 80 undef ined on input line 7643. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 80 undefi ned on input line 7647. [80] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilator_config' on p age 81 undefined on input line 7661. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 81 undefined on input line 7720. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 81 undefin ed on input line 7749. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 81 undefined on input line 7752. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 81 undefined on input line 7772. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 81 undefined on input line 7783. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-forceable' on pa ge 81 undefined on input line 7786. [81] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 8 2 undefined on input line 7815. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 82 undefined on input line 7816. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 82 undefined on input line 7826. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 82 undefined on input line 7853. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 82 undefined on input line 7863. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 82 undefined on input line 7886. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-lint' on page 82 un defined on input line 7917. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 82 undefined on input line 7956. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_flat' on page 82 undefined on input line 7957. LaTeX Warning: Hyper reference `connecting:vpi-example' on page 82 undefined on input line 7958. [82] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 83 undefined on input line 7969. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 8 3 undefined on input line 7985. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 83 undefined on input line 8008. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 83 un defined on input line 8025. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 83 undefined on input line 8028. [83] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 84 undefined on input line 8109. LaTeX Warning: Hyper reference `simulating:coverage-analysis' on page 84 undefi ned on input line 8113. [84] LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-write' on page 85 undefined on input line 8211. LaTeX Warning: Hyper reference `simulating:profiling' on page 85 undefined on i nput line 8262. [85] [86] LaTeX Warning: Hyper reference `simulating:profiling' on page 87 undefined on i nput line 8387. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debugi-value' o n page 87 undefined on input line 8449. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 87 u ndefined on input line 8487. [87] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 88 u ndefined on input line 8498. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 88 u ndefined on input line 8512. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 88 undefined on input line 8513. Underfull \hbox (badness 10000) in paragraph at lines 8511--8518 \T1/qtm/m/n/10 When a model was Ver-i-lated us-ing \T1/txtt/m/sl/10 --prof-exec \T1/qtm/m/n/10 , af-ter $time reaches LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 88 undefined on input line 8526. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 88 undefined on input line 8535. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 88 un defined on input line 8553. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 88 undefined on input line 8564. LaTeX Warning: Hyper reference `languages:unknown-states' on page 88 undefined on input line 8566. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 88 undefined on input line 8575. Underfull \hbox (badness 10000) in paragraph at lines 8585--8588 \T1/qtm/m/n/10 Dis-able as-sert check-ing per run-time ar-gu-ment. This is the same as call-ing [88] Chapter 12. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 89 u ndefined on input line 8635. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-8' on page 89 undef ined on input line 8636. [89] [90] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 9 1 undefined on input line 8844. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-stmts' on page 9 1 undefined on input line 8844. [91] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cdc' on page 92 undefin ed on input line 8978. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 92 undefin ed on input line 8992. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 92 un defined on input line 9060. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 92 un defined on input line 9060. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 92 un defined on input line 9061. [92] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 93 undefined on input line 9077. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 93 undefined on input line 9079. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 93 un defined on input line 9173. [93] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 94 undefined on input line 9219. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 94 un defined on input line 9245. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 94 unde fined on input line 9246. [94] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 95 undefined on input line 9356. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-if-depth' on page 95 un defined on input line 9367. [95] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 96 undefined on input line 9481. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-COMBDLY' on page 96 unde fined on input line 9535. [96] [97] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 98 undefined on input line 9674. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 98 undefin ed on input line 9680. [98] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 99 undefined on input line 9888. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-profile_data' on pa ge 99 undefined on input line 9889. [99] [100] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 101 undefined on input line 10089. [101] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Werror-message' on page 102 undefined on input line 10278. [102] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale' on page 103 undefined on input line 10302. [103] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 104 undefined on input line 10438. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 104 undefined on input line 10441. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 104 undefined on input line 10451. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 104 undefined on input line 10455. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 104 un defined on input line 10475. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-max-mtasks' on page 104 undefined on input line 10479. [104] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 105 undefined on input line 10539. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 105 undefined on input line 10541. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 105 undefined on input line 10552. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 105 undefined on input line 10575. [105] [106] [107] Chapter 13. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 108 undef ined on input line 10873. Overfull \hbox (26.04608pt too wide) in paragraph at lines 11069--11069 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [108] [109] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 110 undefined on input line 11296. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 110 undefined on input line 11296. [110] Chapter 14. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 111 unde fined on input line 11343. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 111 undefined on input line 11368. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 111 undefined on input line 11369. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 111 undefined on input line 11381. [111] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 112 undefi ned on input line 11452. LaTeX Warning: Hyper reference `install:installation' on page 112 undefined on input line 11467. [112] Chapter 15. Underfull \hbox (badness 10000) in paragraph at lines 11506--11513 []\T1/qtm/m/n/10 The \T1/qtm/m/it/10 +ver-i-la-tor+prof+threads+start\T1/qtm/m/ n/10 , \T1/qtm/m/it/10 +ver-i-la- [113] Chapter 16. [114] [115] [116] Chapter 17. [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] Underfull \hbox (badness 10000) in paragraph at lines 15359--15362 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] Chapter 18. [197] (./verilator.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `verilator.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/tex-gyre/q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q -ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc} Output written on verilator.pdf (199 pages, 714039 bytes). Transcript written on verilator.log. Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'verilator.pdf' Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'verilator.aux' 'verilator.out' ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ Latexmk: Examining 'verilator.log' === TeX engine is 'pdfTeX' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.141592653-2.6-1.40.22 (TeX Live 2021/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2020-10-01> patch level 4 L3 programming layer <2021-02-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2020/04/10 v1.4m Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/babel.def (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref-langpatches.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./verilator.out) (./verilator.out) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] [3] [4] [5] Chapter 3. [6] [7] [8] [9] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 10 undefined on input line 747. [10] [11] [12] Chapter 4. [13] Underfull \hbox (badness 7777) in paragraph at lines 1078--1081 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [14] [15] [16] [17] [18] [19] Chapter 5. [20] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1684--1688 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp->internal->member->lookup \T1/ qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp->rootp->internal->member->l ookup [21] [22] [23] [24] [25] [26] Chapter 6. Underfull \hbox (badness 5064) in paragraph at lines 2155--2160 []\T1/qtm/m/n/10 The sup-plied $VER-I-LA-TOR_ROOT/include/verilated.mk file use s the OPT, OPT_FAST, OPT_SLOW and [27] [28] [29] Underfull \hbox (badness 10000) in paragraph at lines 2452--2455 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [30] [31 <./fig_gantt_min.png>] [32] [33] [34] Chapter 7. [35] [36] [37] Chapter 8. [38] [39] Underfull \hbox (badness 10000) in paragraph at lines 3107--3114 []\T1/qtm/m/n/10 Pass the [][]\T1/txtt/m/sl/10 --trace[][] \T1/qtm/m/n/10 op-ti on to Ver-i-la-tor, and in your top level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/ 10 , call [40] [41] [42] (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) [43] [44] [45] Chapter 9. [46] [47] Underfull \hbox (badness 5802) in paragraph at lines 3668--3674 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 3668--3674 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes [48] [49] [50] Overfull \hbox (921.56468pt too wide) in paragraph at lines 3895--3898 [] Overfull \hbox (1599.4048pt too wide) in paragraph at lines 3908--3911 [] Overfull \hbox (7.76494pt too wide) in paragraph at lines 3970--3973 [] [51] Overfull \hbox (19.42479pt too wide) in paragraph at lines 4008--4011 [] [52] Chapter 10. [53] [54] [55] [56] [57] [58] [59] Chapter 11. [60] Underfull \vbox (badness 10000) detected at line 5031 Underfull \vbox (badness 10000) detected at line 5031 [61] Underfull \vbox (badness 10000) detected at line 5031 Underfull \vbox (badness 10000) detected at line 5031 [62] [63] Underfull \hbox (badness 10000) in paragraph at lines 5119--5123 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/sl/10 +verilog1995ext+[][]\T1/qtm/m/n/10 , [64] [65] [66] [67] [68] [69] [70] [71] [72] [73] Underfull \hbox (badness 7522) in paragraph at lines 6725--6734 \T1/qtm/m/n/10 De-clares all vari-ables, ports and wires pub-lic as if they had \T1/txtt/m/n/10 /*verilator public_flat_rw @ [74] [75] [76] [77] Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/qtm/m/n/10 Dis-able all lint re-lated warn-ing mes-sages, and all style war n-ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE -Wno-CASEOVE RLAP -Wno-CASEX Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-CASTCONST -Wno-CASEWITHX -Wno-CMPCONST -Wno-COLONPLUS -Wno -ENDLABEL Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-IMPLICIT -Wno-LITENDIAN -Wno-PINCONNECTEMPTY -Wno-PINMISSI NG -Wno-SYNCASYNCNET Underfull \hbox (badness 10000) in paragraph at lines 7410--7416 \T1/qtm/m/n/10 to \T1/txtt/m/n/10 -Wno-DECLFILENAME -Wno-DEFPARAM -Wno-EOFNEWLI NE -Wno-IMPORTSTAR -Wno-INCABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7410--7416 \T1/txtt/m/n/10 -Wno-PINCONNECTEMPTY -Wno-PINNOCONNECT -Wno-SYNCASYNCNET -Wno-U NDRIVEN -Wno-UNUSED [78] Underfull \hbox (badness 10000) in paragraph at lines 7445--7452 \T1/txtt/m/n/10 -Wwarn-CASEOVERLAP -Wwarn-CASEX -Wwarn-CASTCONST -Wwarn-CASEWIT HX -Wwarn-CMPCONST Underfull \hbox (badness 10000) in paragraph at lines 7445--7452 \T1/txtt/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDI AN -Wwarn-PINMISSING Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/qtm/m/n/10 En-able all code style re-lated warn-ing mes-sages. This is equi v-a-lent to \T1/txtt/m/n/10 -Wwarn ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/txtt/m/n/10 -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE -Wwarn-IN CABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/txtt/m/n/10 -Wwarn-PINNOCONNECT -Wwarn-SYNCASYNCNET -Wwarn-UNDRIVEN -Wwarn- UNUSED [79] [80] [81] [82] [83] [84] [85] [86] [87] Underfull \hbox (badness 10000) in paragraph at lines 8511--8518 \T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][]\T1/txtt/m/sl/10 --prof- exec[][]\T1/qtm/m/n/10 , af-ter $time reaches Underfull \hbox (badness 10000) in paragraph at lines 8585--8588 \T1/qtm/m/n/10 Dis-able as-sert check-ing per run-time ar-gu-ment. This is the same as call-ing [88] Chapter 12. [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] Chapter 13. Overfull \hbox (26.04608pt too wide) in paragraph at lines 11069--11069 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [108] [109] [110] Chapter 14. [111] [112] Chapter 15. Underfull \hbox (badness 10000) in paragraph at lines 11506--11513 []\T1/qtm/m/n/10 The \T1/qtm/m/it/10 +ver-i-la-tor+prof+threads+start\T1/qtm/m/ n/10 , \T1/qtm/m/it/10 +ver-i-la- [113] Chapter 16. [114] [115] [116] Chapter 17. [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] Underfull \hbox (badness 10000) in paragraph at lines 15359--15362 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] Chapter 18. [197] (./verilator.aux) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/tex-gyre/q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q -ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc} Output written on verilator.pdf (200 pages, 765136 bytes). Transcript written on verilator.log. Latexmk: Log file says output to 'verilator.pdf' Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'verilator.aux' 'verilator.toc' ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ Latexmk: Examining 'verilator.log' === TeX engine is 'pdfTeX' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.141592653-2.6-1.40.22 (TeX Live 2021/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2020-10-01> patch level 4 L3 programming layer <2021-02-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2020/04/10 v1.4m Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/babel.def (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref-langpatches.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./verilator.out) (./verilator.out) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] [3] [4] [5] Chapter 3. [6] [7] [8] [9] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 10 undefined on input line 747. [10] [11] [12] Chapter 4. [13] Underfull \hbox (badness 7777) in paragraph at lines 1078--1081 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [14] [15] [16] [17] [18] [19] Chapter 5. [20] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1684--1688 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp->internal->member->lookup \T1/ qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp->rootp->internal->member->l ookup [21] [22] [23] [24] [25] [26] Chapter 6. Underfull \hbox (badness 5064) in paragraph at lines 2155--2160 []\T1/qtm/m/n/10 The sup-plied $VER-I-LA-TOR_ROOT/include/verilated.mk file use s the OPT, OPT_FAST, OPT_SLOW and [27] [28] [29] Underfull \hbox (badness 10000) in paragraph at lines 2452--2455 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [30] [31 <./fig_gantt_min.png>] [32] [33] [34] Chapter 7. [35] [36] [37] Chapter 8. [38] [39] Underfull \hbox (badness 10000) in paragraph at lines 3107--3114 []\T1/qtm/m/n/10 Pass the [][]\T1/txtt/m/sl/10 --trace[][] \T1/qtm/m/n/10 op-ti on to Ver-i-la-tor, and in your top level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/ 10 , call [40] [41] [42] (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) [43] [44] [45] Chapter 9. [46] [47] Underfull \hbox (badness 5802) in paragraph at lines 3668--3674 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 3668--3674 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes [48] [49] [50] Overfull \hbox (921.56468pt too wide) in paragraph at lines 3895--3898 [] Overfull \hbox (1599.4048pt too wide) in paragraph at lines 3908--3911 [] Overfull \hbox (7.76494pt too wide) in paragraph at lines 3970--3973 [] [51] Overfull \hbox (19.42479pt too wide) in paragraph at lines 4008--4011 [] [52] Chapter 10. [53] [54] [55] [56] [57] [58] [59] Chapter 11. [60] Underfull \vbox (badness 10000) detected at line 5031 Underfull \vbox (badness 10000) detected at line 5031 [61] Underfull \vbox (badness 10000) detected at line 5031 Underfull \vbox (badness 10000) detected at line 5031 [62] [63] Underfull \hbox (badness 10000) in paragraph at lines 5119--5123 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/sl/10 +verilog1995ext+[][]\T1/qtm/m/n/10 , [64] [65] [66] [67] [68] [69] [70] [71] [72] [73] Underfull \hbox (badness 7522) in paragraph at lines 6725--6734 \T1/qtm/m/n/10 De-clares all vari-ables, ports and wires pub-lic as if they had \T1/txtt/m/n/10 /*verilator public_flat_rw @ [74] [75] [76] [77] Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/qtm/m/n/10 Dis-able all lint re-lated warn-ing mes-sages, and all style war n-ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE -Wno-CASEOVE RLAP -Wno-CASEX Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-CASTCONST -Wno-CASEWITHX -Wno-CMPCONST -Wno-COLONPLUS -Wno -ENDLABEL Underfull \hbox (badness 10000) in paragraph at lines 7391--7398 \T1/txtt/m/n/10 -Wno-IMPLICIT -Wno-LITENDIAN -Wno-PINCONNECTEMPTY -Wno-PINMISSI NG -Wno-SYNCASYNCNET Underfull \hbox (badness 10000) in paragraph at lines 7410--7416 \T1/qtm/m/n/10 to \T1/txtt/m/n/10 -Wno-DECLFILENAME -Wno-DEFPARAM -Wno-EOFNEWLI NE -Wno-IMPORTSTAR -Wno-INCABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7410--7416 \T1/txtt/m/n/10 -Wno-PINCONNECTEMPTY -Wno-PINNOCONNECT -Wno-SYNCASYNCNET -Wno-U NDRIVEN -Wno-UNUSED [78] Underfull \hbox (badness 10000) in paragraph at lines 7445--7452 \T1/txtt/m/n/10 -Wwarn-CASEOVERLAP -Wwarn-CASEX -Wwarn-CASTCONST -Wwarn-CASEWIT HX -Wwarn-CMPCONST Underfull \hbox (badness 10000) in paragraph at lines 7445--7452 \T1/txtt/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDI AN -Wwarn-PINMISSING Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/qtm/m/n/10 En-able all code style re-lated warn-ing mes-sages. This is equi v-a-lent to \T1/txtt/m/n/10 -Wwarn ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/txtt/m/n/10 -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE -Wwarn-IN CABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7459--7464 \T1/txtt/m/n/10 -Wwarn-PINNOCONNECT -Wwarn-SYNCASYNCNET -Wwarn-UNDRIVEN -Wwarn- UNUSED [79] [80] [81] [82] [83] [84] [85] [86] [87] Underfull \hbox (badness 10000) in paragraph at lines 8511--8518 \T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][]\T1/txtt/m/sl/10 --prof- exec[][]\T1/qtm/m/n/10 , af-ter $time reaches Underfull \hbox (badness 10000) in paragraph at lines 8585--8588 \T1/qtm/m/n/10 Dis-able as-sert check-ing per run-time ar-gu-ment. This is the same as call-ing [88] Chapter 12. [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] Chapter 13. Overfull \hbox (26.04608pt too wide) in paragraph at lines 11069--11069 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [108] [109] [110] Chapter 14. [111] [112] Chapter 15. Underfull \hbox (badness 10000) in paragraph at lines 11506--11513 []\T1/qtm/m/n/10 The \T1/qtm/m/it/10 +ver-i-la-tor+prof+threads+start\T1/qtm/m/ n/10 , \T1/qtm/m/it/10 +ver-i-la- [113] Chapter 16. [114] [115] [116] Chapter 17. [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] Underfull \hbox (badness 10000) in paragraph at lines 15359--15362 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] Chapter 18. [197] (./verilator.aux) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/tex-gyre/q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q -ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc} Output written on verilator.pdf (200 pages, 765136 bytes). Transcript written on verilator.log. Latexmk: Log file says output to 'verilator.pdf' Latexmk: Examining 'verilator.log' === TeX engine is 'pdfTeX' Latexmk: All targets (verilator.pdf) are up-to-date make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs/_build/latex' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/docs' cp _build/latex/verilator.pdf .. make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp -o V3Changed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Common.cpp -o V3Common.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp -o V3GenClk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCImp.cpp -o V3EmitCImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Force.cpp -o V3Force.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3VariableOrder.cpp -o V3VariableOrder.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp -o V3Changed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/python3 ../astgen -I .. V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Common.cpp -o V3Common.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Force.cpp -o V3Force.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCImp.cpp -o V3EmitCImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp -o V3GenClk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' Linking ../../bin/verilator_bin... x86_64-alt-linux-g++ -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3DupFinder.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Force.o V3Gate.o V3GenClk.o V3Global.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkInc.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Waiver.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3VariableOrder.cpp -o V3VariableOrder.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Linking ../../bin/verilator_bin_dbg... x86_64-alt-linux-g++ -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3DupFinder.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Force.o V3Gate.o V3GenClk.o V3Global.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkInc.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Waiver.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make: Entering directory '/usr/src/RPM/BUILD/verilator-4.226' Build complete! Now type 'make test' to test. make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226' + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.95010 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/verilator-buildroot + : + /bin/rm -rf -- /usr/src/tmp/verilator-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games + cd verilator-4.226 + make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/verilator-buildroot make: Entering directory '/usr/src/RPM/BUILD/verilator-4.226' ------------------------------------------------------------ making verilator in src make -C src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src' /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/bin mkdir /usr/src/tmp/verilator-buildroot mkdir /usr/src/tmp/verilator-buildroot/usr mkdir /usr/src/tmp/verilator-buildroot/usr/bin ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator /usr/src/tmp/verilator-buildroot/usr/bin/verilator ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_coverage /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_gantt /usr/src/tmp/verilator-buildroot/usr/bin/verilator_gantt ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_profcfunc /usr/src/tmp/verilator-buildroot/usr/bin/verilator_profcfunc ) ( cd bin ; /usr/libexec/rpm-build/install -p verilator_bin /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin ) ( cd bin ; /usr/libexec/rpm-build/install -p verilator_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin_dbg ) ( cd bin ; /usr/libexec/rpm-build/install -p verilator_coverage_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin mkdir /usr/src/tmp/verilator-buildroot/usr/share mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_includer /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_ccache_report /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_ccache_report ) /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/man/man1 mkdir /usr/src/tmp/verilator-buildroot/usr/share/man mkdir /usr/src/tmp/verilator-buildroot/usr/share/man/man1 for p in verilator.1 verilator_coverage.1 ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/man/man1/$p; \ done /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/gtkwave mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done cd . \ ; for p in include/*.[chv]* include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_protect_lib mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_protect_lib mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/xml_py mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_* ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig mkdir /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig /usr/libexec/rpm-build/install -p -m 644 verilator.pc /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig /usr/libexec/rpm-build/install -p -m 644 verilator-config.cmake /usr/src/tmp/verilator-buildroot/usr/share/verilator /usr/libexec/rpm-build/install -p -m 644 verilator-config-version.cmake /usr/src/tmp/verilator-buildroot/usr/share/verilator Installed binaries to /usr/src/tmp/verilator-buildroot/usr/bin/verilator Installed man to /usr/src/tmp/verilator-buildroot/usr/share/man/man1 Installed examples to /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see https://verilator.org make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226' + mkdir -p /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/ + mv /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig/verilator.pc /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/verilator.pc + mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/ + mv /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/ + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/verilator-buildroot (auto) Verifying and fixing files in /usr/src/tmp/verilator-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/verilator-buildroot/ (default) Compressing files in /usr/src/tmp/verilator-buildroot (auto) Adjusting library links in /usr/src/tmp/verilator-buildroot ./usr/lib64: (from :0) debugedit: ./usr/bin/verilator_coverage_bin_dbg: DWARF version 0 unhandled debugedit: ./usr/bin/verilator_bin_dbg: DWARF version 0 unhandled Verifying ELF objects in /usr/src/tmp/verilator-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal) Hardlinking identical .pyc and .opt-?.pyc files Executing(%check): /bin/sh -e /usr/src/tmp/rpm-tmp.77197 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-4.226 + make test make: Entering directory '/usr/src/RPM/BUILD/verilator-4.226' ------------------------------------------------------------ making verilator in src make -C src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src/obj_opt' make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/src' test_regress/t/t_a1_first_cc.pl ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Unsup 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V Missing separate debuginfo for /bin/echo Try to install the hash file /usr/lib/debug/.build-id/c0/9ebca9b04612e650971c02f8690d1dfaaee15c.debug warning: File "/usr/src/RPM/BUILD/verilator-4.226/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load". Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2 Try to install the hash file /usr/lib/debug/.build-id/c6/722a91aa93f560d737b354a7b70768537c1dbd.debug Missing separate debuginfo for /lib64/libc.so.6 Try to install the hash file /usr/lib/debug/.build-id/2a/3b27b0edd3cd51e8dacc199634a1c6a406a3aa.debug No stack. warning: File "/usr/src/RPM/BUILD/verilator-4.226/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load". To enable execution of this file add add-auto-load-safe-path /usr/src/RPM/BUILD/verilator-4.226/test_regress/.gdbinit line to your configuration file "/usr/src/.gdbinit". To completely disable this security protection add set auto-load safe-path / line to your configuration file "/usr/src/.gdbinit". For more information about this security protection see the "Auto-loading safe path" section in the GDB manual. E.g., run from the shell: info "(gdb)Auto-loading safe path" Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2 Try to install the hash file /usr/lib/debug/.build-id/c6/722a91aa93f560d737b354a7b70768537c1dbd.debug Missing separate debuginfo for /lib64/libpthread.so.0 Try to install the hash file /usr/lib/debug/.build-id/2b/16b5c96e539c139a30b7cce1d87f8acb8af607.debug [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib64/libthread_db.so.1". Missing separate debuginfo for /usr/lib64/libstdc++.so.6 Try to install the hash file /usr/lib/debug/.build-id/90/b756b26040d4c6b9fed5302a9f6a95a91a8c78.debug Missing separate debuginfo for /lib64/libm.so.6 Try to install the hash file /usr/lib/debug/.build-id/77/725ea93fc51522e7c73ab2dbac93fdac68a6a1.debug Missing separate debuginfo for /lib64/libc.so.6 Try to install the hash file /usr/lib/debug/.build-id/2a/3b27b0edd3cd51e8dacc199634a1c6a406a3aa.debug Missing separate debuginfo for /lib64/libgcc_s.so.1 Try to install the hash file /usr/lib/debug/.build-id/ca/cf42385f6eab5190db54fedece9da06ea1a8c6.debug Starting Verilator 4.226 2022-08-31 rev UNKNOWN.REV Starting Verilator 4.226 2022-08-31 rev UNKNOWN.REV Verilator 4.226 2022-08-31 rev UNKNOWN.REV Copyright 2003-2022 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 0 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/src/RPM/BUILD/verilator-4.226/test_regress/.. VERILATOR_BIN = Features (based on environment or compiled-in support): SystemC found = 0 [Inferior 1 (process 3104067) exited normally] No stack. perl /usr/src/RPM/BUILD/verilator-4.226/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log Missing separate debuginfo for /bin/echo Try to install the hash file /usr/lib/debug/.build-id/c0/9ebca9b04612e650971c02f8690d1dfaaee15c.debug warning: File "/usr/src/RPM/BUILD/verilator-4.226/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load". Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2 Try to install the hash file /usr/lib/debug/.build-id/c6/722a91aa93f560d737b354a7b70768537c1dbd.debug Missing separate debuginfo for /lib64/libc.so.6 Try to install the hash file /usr/lib/debug/.build-id/2a/3b27b0edd3cd51e8dacc199634a1c6a406a3aa.debug No stack. warning: File "/usr/src/RPM/BUILD/verilator-4.226/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load". To enable execution of this file add add-auto-load-safe-path /usr/src/RPM/BUILD/verilator-4.226/test_regress/.gdbinit line to your configuration file "/usr/src/.gdbinit". To completely disable this security protection add set auto-load safe-path / line to your configuration file "/usr/src/.gdbinit". For more information about this security protection see the "Auto-loading safe path" section in the GDB manual. E.g., run from the shell: info "(gdb)Auto-loading safe path" Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2 Try to install the hash file /usr/lib/debug/.build-id/c6/722a91aa93f560d737b354a7b70768537c1dbd.debug Missing separate debuginfo for /lib64/libpthread.so.0 Try to install the hash file /usr/lib/debug/.build-id/2b/16b5c96e539c139a30b7cce1d87f8acb8af607.debug [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib64/libthread_db.so.1". Missing separate debuginfo for /usr/lib64/libstdc++.so.6 Try to install the hash file /usr/lib/debug/.build-id/90/b756b26040d4c6b9fed5302a9f6a95a91a8c78.debug Missing separate debuginfo for /lib64/libm.so.6 Try to install the hash file /usr/lib/debug/.build-id/77/725ea93fc51522e7c73ab2dbac93fdac68a6a1.debug Missing separate debuginfo for /lib64/libc.so.6 Try to install the hash file /usr/lib/debug/.build-id/2a/3b27b0edd3cd51e8dacc199634a1c6a406a3aa.debug Missing separate debuginfo for /lib64/libgcc_s.so.1 Try to install the hash file /usr/lib/debug/.build-id/ca/cf42385f6eab5190db54fedece9da06ea1a8c6.debug Starting Verilator 4.226 2022-08-31 rev UNKNOWN.REV Starting Verilator 4.226 2022-08-31 rev UNKNOWN.REV [Inferior 1 (process 3113655) exited normally] No stack. make -C obj_vlt/t_a1_first_cc -f /usr/src/RPM/BUILD/verilator-4.226/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/test_regress/obj_vlt/t_a1_first_cc' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.226/test_regress/../include/verilated.cpp x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-4.226/test_regress/../include/verilated_vcd_c.cpp /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.226/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.226/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o x86_64-alt-linux-g++ Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o Vt_a1_first_cc__ALL.a -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp driver: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:08 ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:08 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Unsup 0 Time 0:08 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Unsup 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== -Skip: vlt/t_a2_first_sc: Test requires SystemC; ignore error since not installed vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed ==SUMMARY: Passed 0 Failed 0 Skipped 1 Unsup 0 Time 0:00 ==SUMMARY: Passed 0 Failed 0 Skipped 1 Unsup 0 Time 0:00 ====================================================================== #vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed TESTS DONE, PASSED w/SKIPS: Passed 0 Failed 0 Skipped 1 Unsup 0 Time 0:00 for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /usr/src/RPM/BUILD/verilator-4.226/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' echo "" > Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.226/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -Os -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -Os -c -o Vtop__ALL.o Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' Archive ar -rcs Vtop__ALL.a Vtop__ALL.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o Vtop__ALL.a -o Vtop make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c/obj_dir' rm Vtop__ALL.verilator_deplist.tmp -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: See also https://verilator.org/guide/latest/examples.html make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_hello_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_c' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_c' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_sc' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_sc' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_hello_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_protect_lib' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_protect_lib' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_protect_lib' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_protect_lib' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_c' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_c' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_sc' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_sc' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/cmake_tracing_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /usr/src/RPM/BUILD/verilator-4.226/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' echo "" > libverilated_secret.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.226/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSfvsG__DepSet_h7aa0cc02__0.cpp Vsecret_impl_PSfvsG__Slow.cpp Vsecret_impl_PSfvsG__DepSet_h937c49ea__0__Slow.cpp Vsecret_impl_PSfvsG__DepSet_h7aa0cc02__0__Slow.cpp Vsecret_impl_PSBXWn.cpp > Vsecret_impl__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated_secret.o verilated_secret.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_secret.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_secret.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir_secret' rm libverilated_secret.verilator_deplist.tmp -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /usr/src/RPM/BUILD/verilator-4.226/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.226/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' echo "" > Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated_dpi.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated_dpi.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o Vtop__ALL.o Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' Archive ar -rcs Vtop__ALL.a Vtop__ALL.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated_vcd_c.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -o Vtop make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib/obj_dir' rm Vtop__ALL.verilator_deplist.tmp -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=307491714 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:32: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_protect_lib' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /usr/src/RPM/BUILD/verilator-4.226/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' echo "" > Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.226/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_cov.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated_cov.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated_vcd_c.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o Vtop__ALL.o Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' Archive ar -rcs Vtop__ALL.a Vtop__ALL.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.226/include -I/usr/src/RPM/BUILD/verilator-4.226/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.226/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o Vtop__ALL.a -o Vtop make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c/obj_dir' rm Vtop__ALL.verilator_deplist.tmp -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /usr/src/RPM/BUILD/verilator-4.226/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/31) 6.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/make_tracing_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.226/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.226' + exit 0 Processing files: verilator-4.226-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.PRZQ2p find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.aWQdPq find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) /usr/src/tmp/verilator-buildroot/usr/bin/verilator syntax OK /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage syntax OK /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer syntax OK find-requires: FINDPACKAGE-COMMANDS: perl python3 Provides: pkgconfig(verilator) = 4.226 Requires: /lib64/ld-linux-x86-64.so.2, /usr/bin/env, /usr/lib64/pkgconfig, libc.so.6(GLIBC_2.14)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.3)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.4)(64bit), libc.so.6(GLIBC_2.7)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libm.so.6(GLIBC_2.29)(64bit), libpthread.so.0(GLIBC_2.2.5)(64bit), libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(CXXABI_1.3.5)(64bit), libstdc++.so.6(CXXABI_1.3.8)(64bit), libstdc++.so.6(CXXABI_1.3.9)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.14)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.17)(64bit), libstdc++.so.6(GLIBCXX_3.4.18)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.26)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), perl(Cwd.pm), perl(FindBin.pm), perl(Getopt/Long.pm), perl(IO/File.pm), perl(Pod/Usage.pm), perl-base, python3, python3(argparse) < 0, python3(collections) < 0, python3(datetime) < 0, python3(math) < 0, python3(pathlib) < 0, python3(re) < 0, python3(statistics) < 0, rtld(GNU_HASH) Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.8B4aCp Creating verilator-debuginfo package Processing files: verilator-doc-4.226-alt1 Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.9691 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-4.226 + DOCDIR=/usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.226 + export DOCDIR + rm -rf /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.226 + /bin/mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.226 + cp -prL verilator.pdf docs/_build/html /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.226 + chmod -R go-w /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.226 + chmod -R a+rX /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.226 + exit 0 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.FbOSjq find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.4pDY0p find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Processing files: verilator-debuginfo-4.226-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.zFGwSm find-provides: running scripts (debuginfo) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Cmkbao find-requires: running scripts (debuginfo) Requires: verilator = 4.226-alt1, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libc.so.6), debug64(libm.so.6), debug64(libpthread.so.0), debug64(libstdc++.so.6) Adding to verilator-debuginfo a strict dependency on verilator Wrote: /usr/src/RPM/RPMS/x86_64/verilator-4.226-alt1.x86_64.rpm (w2T16.xzdio) Wrote: /usr/src/RPM/RPMS/noarch/verilator-doc-4.226-alt1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/x86_64/verilator-debuginfo-4.226-alt1.x86_64.rpm (w2T16.xzdio) 1345.38user 125.99system 3:05.68elapsed 792%CPU (0avgtext+0avgdata 827468maxresident)k 0inputs+0outputs (0major+26391219minor)pagefaults 0swaps 10.37user 7.46system 7:00.08elapsed 4%CPU (0avgtext+0avgdata 131864maxresident)k 30248inputs+0outputs (0major+342163minor)pagefaults 0swaps --- verilator-4.226-alt1.x86_64.rpm.repo 2022-09-15 18:18:45.000000000 +0000 +++ verilator-4.226-alt1.x86_64.rpm.hasher 2024-06-16 02:10:38.440280121 +0000 @@ -114,6 +114,6 @@ File: /usr/bin/verilator 100755 root:root 01756b2fee70221845d3448004e49a1a -File: /usr/bin/verilator_bin 100755 root:root ddd7742ecb16de074a45b64c0cf4a711 -File: /usr/bin/verilator_bin_dbg 100755 root:root 55da3d647ff69f56c810d5f007f7a1ae +File: /usr/bin/verilator_bin 100755 root:root 9f4f57652b0bbb14061ec22abf1e4dde +File: /usr/bin/verilator_bin_dbg 100755 root:root 7d0e7f855ecf6e08359f7bf46f6fe7b6 File: /usr/bin/verilator_coverage 100755 root:root 5b1de7b0074c44ae2a4d3a760909a963 -File: /usr/bin/verilator_coverage_bin_dbg 100755 root:root 82e14a471bf702c6199e6ab48590e5d4 +File: /usr/bin/verilator_coverage_bin_dbg 100755 root:root 83ef6758417d41425ff2697fcbaf78fb File: /usr/bin/verilator_gantt 100755 root:root fd001236162ddbaaf32cb2af682a444b @@ -182,2 +182,2 @@ File: /usr/share/verilator/verilator-config.cmake 100644 root:root e2b3a8946656ff1b54d20696397e9281 -RPMIdentity: bd095e4d8216e6074ca82c11417b3c38d6b6ebaca6867649050556726472439984ff286fd9fd3f83803f2fc00727ad1631ef9ab5780e07a9c8e9b8bff4a50105 +RPMIdentity: c23c9f60050cc4f78c538cc407eda91a378d6c1e59335af72a9ee439cdfc6a318abf34b3add161cfa8eebcebec3a94ab454a5020b5726c332bc907986cba1003 --- verilator-debuginfo-4.226-alt1.x86_64.rpm.repo 2022-09-15 18:18:45.000000000 +0000 +++ verilator-debuginfo-4.226-alt1.x86_64.rpm.hasher 2024-06-16 02:10:38.538281721 +0000 @@ -1,10 +1,10 @@ -/usr/lib/debug/.build-id/59 40755 root:root -/usr/lib/debug/.build-id/59/814e1863cd08bbf3682495d0019497557ccb77 120777 root:root ../../../../bin/verilator_bin -/usr/lib/debug/.build-id/59/814e1863cd08bbf3682495d0019497557ccb77.debug 120777 root:root ../../usr/bin/verilator_bin.debug -/usr/lib/debug/.build-id/c2 40755 root:root -/usr/lib/debug/.build-id/c2/fce31e4f59ec076d35f0831ad5d444a8221c6d 120777 root:root ../../../../bin/verilator_coverage_bin_dbg -/usr/lib/debug/.build-id/c2/fce31e4f59ec076d35f0831ad5d444a8221c6d.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug -/usr/lib/debug/.build-id/e4 40755 root:root -/usr/lib/debug/.build-id/e4/5de3e475ef432350c74757e2406c2eb54e622c 120777 root:root ../../../../bin/verilator_bin_dbg -/usr/lib/debug/.build-id/e4/5de3e475ef432350c74757e2406c2eb54e622c.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +/usr/lib/debug/.build-id/39 40755 root:root +/usr/lib/debug/.build-id/39/577ce98f5d953e0310518c050a4c496e4a5ccb 120777 root:root ../../../../bin/verilator_bin_dbg +/usr/lib/debug/.build-id/39/577ce98f5d953e0310518c050a4c496e4a5ccb.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +/usr/lib/debug/.build-id/64 40755 root:root +/usr/lib/debug/.build-id/64/5ddce537c4c2a22cfe3b814cd8a54c1ddf6e26 120777 root:root ../../../../bin/verilator_bin +/usr/lib/debug/.build-id/64/5ddce537c4c2a22cfe3b814cd8a54c1ddf6e26.debug 120777 root:root ../../usr/bin/verilator_bin.debug +/usr/lib/debug/.build-id/f0 40755 root:root +/usr/lib/debug/.build-id/f0/b670ea475a27e90aa4465c577941bfcb14d9b7 120777 root:root ../../../../bin/verilator_coverage_bin_dbg +/usr/lib/debug/.build-id/f0/b670ea475a27e90aa4465c577941bfcb14d9b7.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root @@ -293,14 +293,14 @@ Provides: verilator-debuginfo = 4.226-alt1:p10+306321.100.2.1 -File: /usr/lib/debug/.build-id/59 40755 root:root -File: /usr/lib/debug/.build-id/59/814e1863cd08bbf3682495d0019497557ccb77 120777 root:root ../../../../bin/verilator_bin -File: /usr/lib/debug/.build-id/59/814e1863cd08bbf3682495d0019497557ccb77.debug 120777 root:root ../../usr/bin/verilator_bin.debug -File: /usr/lib/debug/.build-id/c2 40755 root:root -File: /usr/lib/debug/.build-id/c2/fce31e4f59ec076d35f0831ad5d444a8221c6d 120777 root:root ../../../../bin/verilator_coverage_bin_dbg -File: /usr/lib/debug/.build-id/c2/fce31e4f59ec076d35f0831ad5d444a8221c6d.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug -File: /usr/lib/debug/.build-id/e4 40755 root:root -File: /usr/lib/debug/.build-id/e4/5de3e475ef432350c74757e2406c2eb54e622c 120777 root:root ../../../../bin/verilator_bin_dbg -File: /usr/lib/debug/.build-id/e4/5de3e475ef432350c74757e2406c2eb54e622c.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug -File: /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root 5a563f8e0763081b24fa35a6c908fe57 -File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug 100644 root:root 4302b13205067a927ef36fdf717ec5c9 -File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug 100644 root:root df02ac423dab55094f11f88be4ecff0d +File: /usr/lib/debug/.build-id/39 40755 root:root +File: /usr/lib/debug/.build-id/39/577ce98f5d953e0310518c050a4c496e4a5ccb 120777 root:root ../../../../bin/verilator_bin_dbg +File: /usr/lib/debug/.build-id/39/577ce98f5d953e0310518c050a4c496e4a5ccb.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +File: /usr/lib/debug/.build-id/64 40755 root:root +File: /usr/lib/debug/.build-id/64/5ddce537c4c2a22cfe3b814cd8a54c1ddf6e26 120777 root:root ../../../../bin/verilator_bin +File: /usr/lib/debug/.build-id/64/5ddce537c4c2a22cfe3b814cd8a54c1ddf6e26.debug 120777 root:root ../../usr/bin/verilator_bin.debug +File: /usr/lib/debug/.build-id/f0 40755 root:root +File: /usr/lib/debug/.build-id/f0/b670ea475a27e90aa4465c577941bfcb14d9b7 120777 root:root ../../../../bin/verilator_coverage_bin_dbg +File: /usr/lib/debug/.build-id/f0/b670ea475a27e90aa4465c577941bfcb14d9b7.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug +File: /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root 9b96392991e82d2cccdbaec7b491da8a +File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug 100644 root:root 63e3a74592edfc5fe01e628160f9844d +File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug 100644 root:root 247f970b0958faa11368d8be7592f1ad File: /usr/src/debug/verilator-4.226 40755 root:root @@ -578,2 +578,2 @@ File: /usr/src/debug/verilator-4.226/src/verilog.l 100644 root:root 1d0a3e55cdf98f0e048c1b20891d80e7 -RPMIdentity: d2caaab975d8682366edaae74685303e81fcfe948b6e95545eac07306cc7256cbd7dab9bcdec74dedc0200fb9ec108afd2e1b4b9048e995f7fa67e7b9e96c0f9 +RPMIdentity: 2b4d156b87d04b85141c670e76785a7f4c4e966e3a9a8b39b0e8484d33da96fc310a62d217b4daf74c589c33dce911de3fddf1f5493bba3143b2a20394480724 --- verilator-doc-4.226-alt1.noarch.rpm.repo 2022-09-15 18:18:45.000000000 +0000 +++ verilator-doc-4.226-alt1.noarch.rpm.hasher 2024-06-16 02:10:38.632283256 +0000 @@ -176,3 +176,3 @@ File: /usr/share/doc/verilator-doc-4.226/html/_static/plus.png 100644 root:root 0d7849fd4d4148b7f78cab60a087633a -File: /usr/share/doc/verilator-doc-4.226/html/_static/pygments.css 100644 root:root 16acc1c7c720d4035192aa29995ce675 +File: /usr/share/doc/verilator-doc-4.226/html/_static/pygments.css 100644 root:root 3416c393be0558baff9eda53c1d12695 File: /usr/share/doc/verilator-doc-4.226/html/_static/searchtools.js 100644 root:root a94f0bfcb4d09d87b2f272aaa0f62f9e @@ -184,4 +184,4 @@ File: /usr/share/doc/verilator-doc-4.226/html/changes.html 100644 root:root 3ce9ac8d2d4585395fb9ad457b04c949 -File: /usr/share/doc/verilator-doc-4.226/html/connecting.html 100644 root:root e444bf9f23b7295a4bff12316a81b8e8 -File: /usr/share/doc/verilator-doc-4.226/html/contributing.html 100644 root:root 4c5d99efdb56a63be2aec839fd9ae34e +File: /usr/share/doc/verilator-doc-4.226/html/connecting.html 100644 root:root 0025c52bfb5c166eda243fb159b6d46e +File: /usr/share/doc/verilator-doc-4.226/html/contributing.html 100644 root:root 0d68cf7ce7260527cd359276289251e1 File: /usr/share/doc/verilator-doc-4.226/html/contributors.html 100644 root:root b5b28141ea637262af8239d1b8f836cc @@ -190,9 +190,9 @@ File: /usr/share/doc/verilator-doc-4.226/html/environment.html 100644 root:root 1e4a73e5e8fec6353eff6bdbdf47d0b8 -File: /usr/share/doc/verilator-doc-4.226/html/example_cc.html 100644 root:root 29ed953e8ebaf76b312b0c9764250ce9 -File: /usr/share/doc/verilator-doc-4.226/html/example_common_install.html 100644 root:root eedb4b0349b4257af185d17b7cdc0a84 +File: /usr/share/doc/verilator-doc-4.226/html/example_cc.html 100644 root:root b279864363b4a38bdacfb346e9133d2d +File: /usr/share/doc/verilator-doc-4.226/html/example_common_install.html 100644 root:root 6c953448224aaba5cabc3bb1753dbfac File: /usr/share/doc/verilator-doc-4.226/html/example_dist.html 100644 root:root 017775310f50ccb2cd7a0521ad70f3da -File: /usr/share/doc/verilator-doc-4.226/html/example_sc.html 100644 root:root b0a927006e2943579916c22fb5c2a3f5 +File: /usr/share/doc/verilator-doc-4.226/html/example_sc.html 100644 root:root 88f3cbf9ce7538232e67df10e25b6ec2 File: /usr/share/doc/verilator-doc-4.226/html/examples.html 100644 root:root c396ba319d12d29d9f459e6fa82ac2bf File: /usr/share/doc/verilator-doc-4.226/html/exe_sim.html 100644 root:root 9038ffe4c027d6c8463d1ec73d40c243 -File: /usr/share/doc/verilator-doc-4.226/html/exe_verilator.html 100644 root:root 467293b70f083be7c117c2ee98fa7e09 +File: /usr/share/doc/verilator-doc-4.226/html/exe_verilator.html 100644 root:root e8f3c0f7aa77b9bdc68f02da53dddb8d File: /usr/share/doc/verilator-doc-4.226/html/exe_verilator_coverage.html 100644 root:root 030877bbcd0f77cb494c8e6181d82b50 @@ -201,4 +201,4 @@ File: /usr/share/doc/verilator-doc-4.226/html/executables.html 100644 root:root 3e2c7f6f990014adc5751f207e6b792f -File: /usr/share/doc/verilator-doc-4.226/html/extensions.html 100644 root:root 3fa11f5c8da16e75a31c1364eaf831c1 -File: /usr/share/doc/verilator-doc-4.226/html/faq.html 100644 root:root d21a4ac5f97b33f2be5f09c4ebb8750d +File: /usr/share/doc/verilator-doc-4.226/html/extensions.html 100644 root:root c41084da8fe30387c70333f694ffa71d +File: /usr/share/doc/verilator-doc-4.226/html/faq.html 100644 root:root 0059b26f0043eb41ec762c86f4d19d27 File: /usr/share/doc/verilator-doc-4.226/html/files.html 100644 root:root f6ae26fd47ecfa5b96f7eba6cfdd3bcb @@ -206,3 +206,3 @@ File: /usr/share/doc/verilator-doc-4.226/html/install.html 100644 root:root d196f448d53f14c600d1a34cf60a1ae4 -File: /usr/share/doc/verilator-doc-4.226/html/languages.html 100644 root:root 66af7ef71df4c11d5f789e749cd5f9dd +File: /usr/share/doc/verilator-doc-4.226/html/languages.html 100644 root:root a62973cedb0d49271a3b1cca2b638f64 File: /usr/share/doc/verilator-doc-4.226/html/objects.inv 100644 root:root 566cbeb8c3c2360114c2e803697523fb @@ -211,6 +211,6 @@ File: /usr/share/doc/verilator-doc-4.226/html/searchindex.js 100644 root:root e5a18e7de572c06a4c85a778b49eb8c0 -File: /usr/share/doc/verilator-doc-4.226/html/simulating.html 100644 root:root f3fdd5cb1f3a7dded731cffdf482dac5 -File: /usr/share/doc/verilator-doc-4.226/html/verilating.html 100644 root:root 03c0698859bd76ad43daa7c09555caac -File: /usr/share/doc/verilator-doc-4.226/html/warnings.html 100644 root:root 28b01b079400846431a2f1f62e496146 -File: /usr/share/doc/verilator-doc-4.226/verilator.pdf 100644 root:root e302d87676eb168682579c6ff1e53a99 +File: /usr/share/doc/verilator-doc-4.226/html/simulating.html 100644 root:root e2b110c7e284955a37cfd1a45c4e4d9c +File: /usr/share/doc/verilator-doc-4.226/html/verilating.html 100644 root:root 743be3e7cbb58d0c96d375b9b448fbcf +File: /usr/share/doc/verilator-doc-4.226/html/warnings.html 100644 root:root bd347d44623333764edb631aaf7b206b +File: /usr/share/doc/verilator-doc-4.226/verilator.pdf 100644 root:root 86e80f31728d0117b71d862f46308d4f File: /usr/share/doc/verilator/examples 40755 root:root @@ -264,2 +264,2 @@ File: /usr/share/doc/verilator/examples/xml_py/vl_hier_graph 100644 root:root d51497e751753f7693ee60207bc59ee3 -RPMIdentity: aa3cc951df7a6968f9e9ff6df5691462f895ecc27b6aed3eb5526ddbbbf4e9f82791c7a81ee14b8c4bd4e2fb507b51a932103521718d588f4603190a3f8e76ae +RPMIdentity: b977602724b9ad26aec33a8d06074d7547396c8b64da036b6f8cbddeb8b3f20aee21f06aacb6c657a2004008c569636afc6d9c9a3dd7689704229b2c8c79eae6