<86>Sep 25 02:12:00 userdel[41411]: delete user 'rooter' <86>Sep 25 02:12:00 userdel[41411]: removed group 'rooter' owned by 'rooter' <86>Sep 25 02:12:00 userdel[41411]: removed shadow group 'rooter' owned by 'rooter' <86>Sep 25 02:12:00 groupadd[41421]: group added to /etc/group: name=rooter, GID=645 <86>Sep 25 02:12:00 groupadd[41421]: group added to /etc/gshadow: name=rooter <86>Sep 25 02:12:00 groupadd[41421]: new group: name=rooter, GID=645 <86>Sep 25 02:12:00 useradd[41426]: new user: name=rooter, UID=645, GID=645, home=/root, shell=/bin/bash <86>Sep 25 02:12:00 userdel[41437]: delete user 'builder' <86>Sep 25 02:12:00 userdel[41437]: removed group 'builder' owned by 'builder' <86>Sep 25 02:12:00 userdel[41437]: removed shadow group 'builder' owned by 'builder' <86>Sep 25 02:12:00 groupadd[41445]: group added to /etc/group: name=builder, GID=646 <86>Sep 25 02:12:00 groupadd[41445]: group added to /etc/gshadow: name=builder <86>Sep 25 02:12:00 groupadd[41445]: new group: name=builder, GID=646 <86>Sep 25 02:12:00 useradd[41452]: new user: name=builder, UID=646, GID=646, home=/usr/src, shell=/bin/bash <13>Sep 25 02:12:01 rpmi: rpm-build-licenses-3.0.0-alt1 sisyphus+241804.100.1.1 1574868053 installed <13>Sep 25 02:12:03 rpmi: gcc-c++-common-1.4.27-alt1 sisyphus+278099.1300.1.1 1626028636 installed <13>Sep 25 02:12:03 rpmi: libstdc++10-devel-10.3.1-alt2 sisyphus+277353.100.2.1 1625525890 installed <13>Sep 25 02:12:04 rpmi: gcc10-c++-10.3.1-alt2 sisyphus+277353.100.2.1 1625525890 installed <13>Sep 25 02:12:04 rpmi: gcc-c++-10-alt1 sisyphus+263054.200.3.1 1607517515 installed <13>Sep 25 02:12:04 rpmi: libreadline-devel-7.0.3-alt3 sisyphus+278099.3700.1.1 1626030606 installed <13>Sep 25 02:12:04 rpmi: zlib-devel-1.2.12-alt3 p10+305356.100.3.1 1661238279 installed <13>Sep 25 02:12:04 rpmi: gperf-3.1.0.27.b0f9-alt1 sisyphus+269178.400.3.1 1617924097 installed <13>Sep 25 02:12:04 rpmi: flex-2.6.4.0.88.9801-alt1 sisyphus+275295.100.2.3 1624485314 installed <13>Sep 25 02:12:04 rpmi: bzlib-devel-1:1.0.8-alt1 sisyphus+278099.400.1.1 1626027681 installed Building target platforms: x86_64 Building for target x86_64 Wrote: /usr/src/in/nosrpm/iverilog-11.0-alt2.nosrc.rpm (w1.gzdio) Installing iverilog-11.0-alt2.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.9661 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf iverilog-11.0 + echo 'Source #0 (iverilog-11.0.tar):' Source #0 (iverilog-11.0.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/iverilog-11.0.tar + cd iverilog-11.0 + /bin/chmod -c -Rf u+rwX,go-w . + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.9661 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd iverilog-11.0 + sh ./autoconf.sh Autoconf in root... Precompiling lexor_keyword.gperf Precompiling vhdlpp/lexor_keyword.gperf + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CFLAGS + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CXXFLAGS + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FFLAGS + FCFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FCFLAGS + '[' -n '' ']' ++ printf %s '-pipe -frecord-gcc-switches -Wall -g -O2' ++ sed -r 's/(^|[[:space:]]+)-[^m][^[:space:]]*//g' + ASFLAGS= + export ASFLAGS + export lt_cv_deplibs_check_method=pass_all + lt_cv_deplibs_check_method=pass_all + readlink -e -- ./configure + xargs -ri dirname -- '{}' + xargs -ri find '{}' -type f '(' -name config.sub -or -name config.guess ')' -printf '%h/\n' + sort -u + xargs -rn1 install -pm755 -- /usr/share/gnu-config/config.sub /usr/share/gnu-config/config.guess + ./configure --build=x86_64-alt-linux --host=x86_64-alt-linux --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/lib --localstatedir=/var/lib --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-dependency-tracking --disable-silent-rules --without-included-gettext configure: WARNING: unrecognized options: --disable-dependency-tracking, --disable-silent-rules, --without-included-gettext checking build system type... x86_64-alt-linux-gnu checking host system type... x86_64-alt-linux-gnu checking for x86_64-alt-linux-gcc... x86_64-alt-linux-gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether x86_64-alt-linux-gcc accepts -g... yes checking for x86_64-alt-linux-gcc option to accept ISO C89... none needed checking for x86_64-alt-linux-gcc option to accept ISO C99... none needed checking for x86_64-alt-linux-g++... x86_64-alt-linux-g++ checking whether we are using the GNU C++ compiler... yes checking whether x86_64-alt-linux-g++ accepts -g... yes checking for x86_64-alt-linux-ranlib... no checking for ranlib... ranlib checking for x86_64-alt-linux-ld... no checking for ld... ld checking for x86_64-alt-linux-ar... no checking for ar... ar checking for x86_64-alt-linux-dlltool... no checking for dlltool... no checking for x86_64-alt-linux-strip... no checking for strip... strip checking for x86_64-alt-linux-windres... no checking for windres... no checking for gperf... gperf checking for man... no checking for ps2pdf... no checking for git... no checking for flex... flex checking for bison... bison checking for Microsoft Windows... no checking how to run the C preprocessor... x86_64-alt-linux-gcc -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking whether __SUNPRO_C is declared... no checking if gcc supports -Wextra... yes checking whether __SUNPRO_CC is declared... no checking how to run the C++ preprocessor... x86_64-alt-linux-g++ -E checking getopt.h usability... yes checking getopt.h presence... yes checking for getopt.h... yes checking for inttypes.h... (cached) yes checking libiberty.h usability... no checking libiberty.h presence... no checking for libiberty.h... no checking iosfwd usability... yes checking iosfwd presence... yes checking for iosfwd... yes checking sys/wait.h usability... yes checking sys/wait.h presence... yes checking for sys/wait.h... yes checking size of unsigned long long... 8 checking size of unsigned long... 8 checking size of unsigned... 4 checking for tputs in -ltermcap... no checking for readline in -lreadline... yes checking for add_history in -lreadline... yes checking readline/readline.h usability... yes checking readline/readline.h presence... yes checking for readline/readline.h... yes checking readline/history.h usability... yes checking readline/history.h presence... yes checking for readline/history.h... yes checking sys/resource.h usability... yes checking sys/resource.h presence... yes checking for sys/resource.h... yes checking for pthread_create in -lpthread... yes checking for gzwrite in -lz... yes checking for gzwrite in -lz... (cached) yes checking for BZ2_bzdopen in -lbz2... yes checking for BZ2_bzdopen in -lbz2... (cached) yes checking for size_t... yes checking for working alloca.h... yes checking for alloca... yes checking for _LARGEFILE_SOURCE value needed for large files... no configure: Not using valgrind hooks checking for sys/times... yes checking dlfcn.h usability... yes checking dlfcn.h presence... yes checking for dlfcn.h... yes checking for dlopen in -ldl... yes checking for a BSD-compatible install... /bin/install -c checking whether byte ordering is bigendian... no checking for extra libs needed... checking for flag to make position independent code... -fPIC checking for realpath... yes checking for fopen64... yes checking for library containing lround... -lm checking for library containing llround... none required checking for library containing nan... none required checking for library containing fmin... none required checking for library containing fmax... none required checking if uint64_t and unsigned long are identical... yes checking for -rdynamic compiler flag... -rdynamic checking for shared library link flag... -shared checking for leading underscores... no checking for trailing underscores... no checking for sanity of prefix... ok checking for sanity of exec_prefix... ok checking for sanity of libdir... ok checking for gcc... gcc checking whether we are using the GNU C compiler... (cached) yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... (cached) none needed checking how to run the C preprocessor... gcc -E configure: creating ./config.status config.status: creating Makefile config.status: creating ivlpp/Makefile config.status: creating vhdlpp/Makefile config.status: creating vvp/Makefile config.status: creating vpi/Makefile config.status: creating driver/Makefile config.status: creating driver-vpi/Makefile config.status: creating cadpli/Makefile config.status: creating libveriuser/Makefile config.status: creating tgt-null/Makefile config.status: creating tgt-stub/Makefile config.status: creating tgt-vvp/Makefile config.status: creating tgt-vhdl/Makefile config.status: creating tgt-fpga/Makefile config.status: creating tgt-verilog/Makefile config.status: creating tgt-pal/Makefile config.status: creating tgt-vlog95/Makefile config.status: creating tgt-pcb/Makefile config.status: creating tgt-blif/Makefile config.status: creating tgt-sizer/Makefile config.status: creating config.h config.status: creating _pli_types.h config.status: creating vhdlpp/vhdlpp_config.h config.status: creating vvp/config.h config.status: creating vpi/vpi_config.h config.status: creating libveriuser/config.h config.status: creating tgt-vvp/vvp_config.h config.status: creating tgt-vhdl/vhdl_config.h config.status: creating tgt-pcb/pcb_config.h configure: WARNING: unrecognized options: --disable-dependency-tracking, --disable-silent-rules, --without-included-gettext + make -j8 make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' mkdir dep make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' Using empty VERSION_TAG make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c discipline.cc -o discipline.o mv discipline.d dep/discipline.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elaborate_analog.cc -o elaborate_analog.o mv elaborate_analog.d dep/elaborate_analog.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c async.cc -o async.o mv async.d dep/async.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c dup_expr.cc -o dup_expr.o mv dup_expr.d dep/dup_expr.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_sig_analog.cc -o elab_sig_analog.o mv elab_sig_analog.d dep/elab_sig_analog.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_net.cc -o elab_net.o mv elab_net.d dep/elab_net.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c design_dump.cc -o design_dump.o mv design_dump.d dep/design_dump.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_lval.cc -o elab_lval.o mv elab_lval.d dep/elab_lval.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_type.cc -o elab_type.o mv elab_type.d dep/elab_type.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c emit.cc -o emit.o mv emit.d dep/emit.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_attrib.cc -o eval_attrib.o mv eval_attrib.d dep/eval_attrib.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval.cc -o eval.o mv eval.d dep/eval.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' flex -s -t lexor.lex > lexor.cc make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_sig.cc -o elab_sig.o mv elab_sig.d dep/elab_sig.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_scope.cc -o elab_scope.o mv elab_scope.d dep/elab_scope.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c link_const.cc -o link_const.o mv link_const.d dep/link_const.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' bison --verbose -t -p VL --defines=parse.h -o parse.cc parse.y make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expr_synth.cc -o expr_synth.o mv expr_synth.d dep/expr_synth.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c functor.cc -o functor.o mv functor.d dep/functor.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c load_module.cc -o load_module.o mv load_module.d dep/load_module.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c nettypes.cc -o nettypes.o mv nettypes.d dep/nettypes.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_analog.cc -o net_analog.o mv net_analog.d dep/net_analog.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_tree.cc -o eval_tree.o mv eval_tree.d dep/eval_tree.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netdarray.cc -o netdarray.o mv netdarray.d dep/netdarray.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_assign.cc -o net_assign.o mv net_assign.d dep/net_assign.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netparray.cc -o netparray.o mv netparray.d dep/netparray.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netmisc.cc -o netmisc.o mv netmisc.d dep/netmisc.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netclass.cc -o netclass.o mv netclass.d dep/netclass.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netqueue.cc -o netqueue.o mv netqueue.d dep/netqueue.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netenum.cc -o netenum.o mv netenum.d dep/netenum.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netscalar.cc -o netscalar.o mv netscalar.d dep/netscalar.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netvector.cc -o netvector.o mv netvector.d dep/netvector.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_design.cc -o net_design.o mv net_design.d dep/net_design.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elab_expr.cc -o elab_expr.o mv elab_expr.d dep/elab_expr.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netlist.cc -o netlist.o mv netlist.d dep/netlist.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c netstruct.cc -o netstruct.o mv netstruct.d dep/netstruct.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_func.cc -o net_func.o mv net_func.d dep/net_func.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c elaborate.cc -o elaborate.o mv elaborate.d dep/elaborate.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_event.cc -o net_event.o mv net_event.d dep/net_event.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_expr.cc -o net_expr.o mv net_expr.d dep/net_expr.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_nex_output.cc -o net_nex_output.o mv net_nex_output.d dep/net_nex_output.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_modulo.cc -o net_modulo.o mv net_modulo.d dep/net_modulo.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_link.cc -o net_link.o mv net_link.d dep/net_link.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_tran.cc -o net_tran.o mv net_tran.d dep/net_tran.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_nex_input.cc -o net_nex_input.o mv net_nex_input.d dep/net_nex_input.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_udp.cc -o net_udp.o mv net_udp.d dep/net_udp.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_proc.cc -o net_proc.o mv net_proc.d dep/net_proc.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_func_eval.cc -o net_func_eval.o mv net_func_eval.d dep/net_func_eval.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pad_to_width.cc -o pad_to_width.o mv pad_to_width.d dep/pad_to_width.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c parse_misc.cc -o parse_misc.o mv parse_misc.d dep/parse_misc.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_analog.cc -o pform_analog.o mv pform_analog.d dep/pform_analog.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_disciplines.cc -o pform_disciplines.o mv pform_disciplines.d dep/pform_disciplines.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_pclass.cc -o pform_pclass.o mv pform_pclass.d dep/pform_pclass.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c net_scope.cc -o net_scope.o mv net_scope.d dep/net_scope.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_class_type.cc -o pform_class_type.o mv pform_class_type.d dep/pform_class_type.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_struct_type.cc -o pform_struct_type.o mv pform_struct_type.d dep/pform_struct_type.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_package.cc -o pform_package.o mv pform_package.d dep/pform_package.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_dump.cc -o pform_dump.o mv pform_dump.d dep/pform_dump.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_types.cc -o pform_types.o mv pform_types.d dep/pform_types.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform_string_type.cc -o pform_string_type.o mv pform_string_type.d dep/pform_string_type.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sync.cc -o sync.o mv sync.d dep/sync.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_funcs.cc -o sys_funcs.o mv sys_funcs.d dep/sys_funcs.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c symbol_search.cc -o symbol_search.o mv symbol_search.d dep/symbol_search.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c Attrib.cc -o Attrib.o mv Attrib.d dep/Attrib.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c HName.cc -o HName.o mv HName.d dep/HName.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c verireal.cc -o verireal.o mv verireal.d dep/verireal.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_modules.cc -o vpi_modules.o mv vpi_modules.d dep/vpi_modules.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c verinum.cc -o verinum.o mv verinum.d dep/verinum.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PEvent.cc -o PEvent.o mv PEvent.d dep/PEvent.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c target.cc -o target.o mv target.d dep/target.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PClass.cc -o PClass.o mv PClass.d dep/PClass.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PGate.cc -o PGate.o mv PGate.d dep/PGate.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PDelays.cc -o PDelays.o mv PDelays.d dep/PDelays.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c Module.cc -o Module.o mv Module.d dep/Module.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PNamedItem.cc -o PNamedItem.o mv PNamedItem.d dep/PNamedItem.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PFunction.cc -o PFunction.o mv PFunction.d dep/PFunction.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PModport.cc -o PModport.o mv PModport.d dep/PModport.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PSpec.cc -o PSpec.o mv PSpec.d dep/PSpec.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PPackage.cc -o PPackage.o mv PPackage.d dep/PPackage.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PGenerate.cc -o PGenerate.o mv PGenerate.d dep/PGenerate.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PUdp.cc -o PUdp.o mv PUdp.d dep/PUdp.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PExpr.cc -o PExpr.o mv PExpr.d dep/PExpr.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PScope.cc -o PScope.o mv PScope.d dep/PScope.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PTask.cc -o PTask.o mv PTask.d dep/PTask.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c ./libmisc/LineInfo.cc -o LineInfo.o mv LineInfo.d dep/LineInfo.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c ./libmisc/StringHeap.cc -o StringHeap.o mv StringHeap.d dep/StringHeap.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c AStatement.cc -o AStatement.o mv AStatement.d dep/AStatement.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c cprop.cc -o cprop.o mv cprop.d dep/cprop.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c PWire.cc -o PWire.o mv PWire.d dep/PWire.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' bison --verbose -t -p syn_ -o syn-rules.cc syn-rules.y make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c exposenodes.cc -o exposenodes.o mv exposenodes.d dep/exposenodes.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c synth.cc -o synth.o mv synth.d dep/synth.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c nodangle.cc -o nodangle.o mv nodangle.d dep/nodangle.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pform.cc -o pform.o mv pform.d dep/pform.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c Statement.cc -o Statement.o mv Statement.d dep/Statement.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -o version.exe -I. -I. ./version.c make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' sed -e 's;@SHARED@;-shared;' -e 's;@PIC@;-fPIC;' \ -e 's;@SUFFIX@;;' \ -e 's;@IVCC@;cc;' \ -e 's;@IVCXX@;x86_64-alt-linux-g++;' \ -e 's;@IVCFLAGS@;-Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2;' \ -e 's;@IVCXXFLAGS@;-Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2;' \ -e 's;@IVCTARGETFLAGS@;;' \ -e 's;@INCLUDEDIR@;/usr/include/iverilog;' \ -e 's;@LIBDIR@;/usr/lib64;' iverilog-vpi.sh > iverilog-vpi chmod +x iverilog-vpi make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c t-dll-analog.cc -o t-dll-analog.o mv t-dll-analog.d dep/t-dll-analog.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c t-dll-proc.cc -o t-dll-proc.o mv t-dll-proc.d dep/t-dll-proc.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c t-dll-expr.cc -o t-dll-expr.o mv t-dll-expr.d dep/t-dll-expr.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lexor_keyword.cc -o lexor_keyword.o mv lexor_keyword.d dep/lexor_keyword.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' ./version.exe `head -1 ./iverilog-vpi.man.in`'\n' > iverilog-vpi.man tail -n +2 ./iverilog-vpi.man.in >> iverilog-vpi.man make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c t-dll.cc -o t-dll.o mv t-dll.d dep/t-dll.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c parse.cc -o parse.o parse.cc:8577:18: warning: missing initializer for member 'vlltype::text' [-Wmissing-field-initializers] 8577 | = { 1, 1, 1, 1 } | ^ mv parse.d dep/parse.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c t-dll-api.cc -o t-dll-api.o mv t-dll-api.d dep/t-dll-api.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c syn-rules.cc -o syn-rules.o mv syn-rules.d dep/syn-rules.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lexor.cc -o lexor.o mv lexor.d dep/lexor.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c main.cc -o main.o mv main.d dep/main.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c synth2.cc -o synth2.o mv synth2.d dep/synth2.d make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' x86_64-alt-linux-g++ -rdynamic -o ivl main.o async.o design_dump.o discipline.o dup_expr.o elaborate.o elab_expr.o elaborate_analog.o elab_lval.o elab_net.o elab_scope.o elab_sig.o elab_sig_analog.o elab_type.o emit.o eval.o eval_attrib.o eval_tree.o expr_synth.o functor.o lexor.o lexor_keyword.o link_const.o load_module.o netlist.o netmisc.o nettypes.o net_analog.o net_assign.o net_design.o netclass.o netdarray.o netenum.o netparray.o netqueue.o netscalar.o netstruct.o netvector.o net_event.o net_expr.o net_func.o net_func_eval.o net_link.o net_modulo.o net_nex_input.o net_nex_output.o net_proc.o net_scope.o net_tran.o net_udp.o pad_to_width.o parse.o parse_misc.o pform.o pform_analog.o pform_disciplines.o pform_dump.o pform_package.o pform_pclass.o pform_class_type.o pform_string_type.o pform_struct_type.o pform_types.o symbol_search.o sync.o sys_funcs.o verinum.o verireal.o vpi_modules.o target.o Attrib.o HName.o Module.o PClass.o PDelays.o PEvent.o PExpr.o PFunction.o PGate.o PGenerate.o PModport.o PNamedItem.o PPackage.o PScope.o PSpec.o PTask.o PUdp.o PWire.o Statement.o AStatement.o LineInfo.o StringHeap.o cprop.o exposenodes.o nodangle.o synth.o synth2.o syn-rules.o t-dll.o t-dll-api.o t-dll-expr.o t-dll-proc.o t-dll-analog.o -ldl make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' flex -t lexor.lex > lexor.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' x86_64-alt-linux-gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -I. -I.. -DHAVE_CONFIG_H -c -o main.o main.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' x86_64-alt-linux-gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -I. -I.. -DHAVE_CONFIG_H -c -o lexor.o lexor.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' x86_64-alt-linux-gcc main.o lexor.o -o ivlpp make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c compiler.cc -o compiler.o mv compiler.d dep/compiler.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c package.cc -o package.o mv package.d dep/package.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c main.cc -o main.o mv main.d dep/main.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c entity.cc -o entity.o mv entity.d dep/entity.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c architec.cc -o architec.o mv architec.d dep/architec.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c std_types.cc -o std_types.o mv std_types.d dep/std_types.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c std_funcs.cc -o std_funcs.o mv std_funcs.d dep/std_funcs.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vtype_match.cc -o vtype_match.o mv vtype_match.d dep/vtype_match.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sequential.cc -o sequential.o mv sequential.d dep/sequential.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c subprogram.cc -o subprogram.o mv subprogram.d dep/subprogram.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vsignal.cc -o vsignal.o mv vsignal.d dep/vsignal.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vtype.cc -o vtype.o mv vtype.d dep/vtype.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression.cc -o expression.o mv expression.d dep/expression.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c architec_elaborate.cc -o architec_elaborate.o mv architec_elaborate.d dep/architec_elaborate.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c entity_elaborate.cc -o entity_elaborate.o mv entity_elaborate.d dep/entity_elaborate.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vtype_elaborate.cc -o vtype_elaborate.o mv vtype_elaborate.d dep/vtype_elaborate.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' flex -s -olexor.cc ./lexor.lex make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c entity_stream.cc -o entity_stream.o mv entity_stream.d dep/entity_stream.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression_evaluate.cc -o expression_evaluate.o mv expression_evaluate.d dep/expression_evaluate.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression_stream.cc -o expression_stream.o mv expression_stream.d dep/expression_stream.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c scope.cc -o scope.o mv scope.d dep/scope.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sequential_elaborate.cc -o sequential_elaborate.o mv sequential_elaborate.d dep/sequential_elaborate.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' bison --verbose -t --defines=parse.h -o parse.cc parse.y make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdlint.cc -o vhdlint.o mv vhdlint.d dep/vhdlint.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdlreal.cc -o vhdlreal.o mv vhdlreal.d dep/vhdlreal.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vtype_stream.cc -o vtype_stream.o mv vtype_stream.d dep/vtype_stream.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression_elaborate.cc -o expression_elaborate.o expression_elaborate.cc: In member function 'int ExpAggregate::elaborate_expr_record_(Entity*, ScopeBase*, const VTypeRecord*)': expression_elaborate.cc:546:31: warning: implicitly-declared 'constexpr ExpAggregate::choice_element& ExpAggregate::choice_element::operator=(const ExpAggregate::choice_element&)' is deprecated [-Wdeprecated-copy] 546 | aggregate_[idx] = tmp; | ^~~ In file included from expression_elaborate.cc:24: expression.h:289:6: note: because 'ExpAggregate::choice_element' has user-provided 'ExpAggregate::choice_element::choice_element(const ExpAggregate::choice_element&)' 289 | choice_element(const choice_element&other) { | ^~~~~~~~~~~~~~ mv expression_elaborate.d dep/expression_elaborate.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c parse_misc.cc -o parse_misc.o mv parse_misc.d dep/parse_misc.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c package_emit.cc -o package_emit.o mv package_emit.d dep/package_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c entity_emit.cc -o entity_emit.o mv entity_emit.d dep/entity_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c architec_emit.cc -o architec_emit.o mv architec_emit.d dep/architec_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vtype_emit.cc -o vtype_emit.o mv vtype_emit.d dep/vtype_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c subprogram_emit.cc -o subprogram_emit.o mv subprogram_emit.d dep/subprogram_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c library.cc -o library.o mv library.d dep/library.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sequential_emit.cc -o sequential_emit.o mv sequential_emit.d dep/sequential_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c ../libmisc/StringHeap.cc -o StringHeap.o mv StringHeap.d dep/StringHeap.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression_emit.cc -o expression_emit.o mv expression_emit.d dep/expression_emit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c ../libmisc/LineInfo.cc -o LineInfo.o mv LineInfo.d dep/LineInfo.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c architec_debug.cc -o architec_debug.o mv architec_debug.d dep/architec_debug.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression_debug.cc -o expression_debug.o mv expression_debug.d dep/expression_debug.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sequential_debug.cc -o sequential_debug.o mv sequential_debug.d dep/sequential_debug.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c debug.cc -o debug.o mv debug.d dep/debug.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lexor_keyword.cc -o lexor_keyword.o mv lexor_keyword.d dep/lexor_keyword.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lexor.cc -o lexor.o mv lexor.d dep/lexor.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -I. -I.. -I../libmisc -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c parse.cc -o parse.o mv parse.d dep/parse.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' x86_64-alt-linux-g++ -o vhdlpp main.o architec.o compiler.o entity.o std_funcs.o std_types.o expression.o package.o scope.o sequential.o subprogram.o vsignal.o vtype.o vtype_match.o architec_elaborate.o entity_elaborate.o expression_elaborate.o expression_evaluate.o sequential_elaborate.o vtype_elaborate.o entity_stream.o expression_stream.o vtype_stream.o lexor.o lexor_keyword.o parse.o parse_misc.o library.o vhdlreal.o vhdlint.o architec_emit.o entity_emit.o expression_emit.o package_emit.o sequential_emit.o subprogram_emit.o vtype_emit.o debug.o architec_debug.o expression_debug.o sequential_debug.o StringHeap.o LineInfo.o -lm -lbz2 -lz -lpthread -lreadline make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' flex -s -olexor.cc ./lexor.lex make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' bison --verbose -t --defines=parse.h -o parse.cc parse.y make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c bufif.cc -o bufif.o mv bufif.d dep/bufif.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c parse_misc.cc -o parse_misc.o mv parse_misc.d dep/parse_misc.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c main.cc -o main.o mv main.d dep/main.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c array_common.cc -o array_common.o mv array_common.d dep/array_common.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c concat.cc -o concat.o mv concat.d dep/concat.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c compile.cc -o compile.o mv compile.d dep/compile.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c dff.cc -o dff.o mv dff.d dep/dff.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c extend.cc -o extend.o mv extend.d dep/extend.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c enum_type.cc -o enum_type.o mv enum_type.d dep/enum_type.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c arith.cc -o arith.o mv arith.d dep/arith.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c file_line.cc -o file_line.o mv file_line.d dep/file_line.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c permaheap.cc -o permaheap.o mv permaheap.d dep/permaheap.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c npmos.cc -o npmos.o mv npmos.d dep/npmos.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c latch.cc -o latch.o mv latch.d dep/latch.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c class_type.cc -o class_type.o mv class_type.d dep/class_type.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sfunc.cc -o sfunc.o mv sfunc.d dep/sfunc.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c reduce.cc -o reduce.o mv reduce.d dep/reduce.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c stop.cc -o stop.o mv stop.d dep/stop.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c part.cc -o part.o mv part.d dep/part.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c symbols.cc -o symbols.o mv symbols.d dep/symbols.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c statistics.cc -o statistics.o mv statistics.d dep/statistics.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c codes.cc -o codes.o mv codes.d dep/codes.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c substitute.cc -o substitute.o mv substitute.d dep/substitute.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' cc -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -o draw_tt ./draw_tt.c ./draw_tt > tables.cc rm draw_tt make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c resolv.cc -o resolv.o mv resolv.d dep/resolv.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c ufunc.cc -o ufunc.o mv ufunc.d dep/ufunc.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_object.cc -o vvp_object.o mv vvp_object.d dep/vvp_object.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c array.cc -o array.o array.cc: In function 'void compile_array_alias(char*, char*, char*)': array.cc:1536:30: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1536 | obj->first_addr = mem->first_addr; | ^~~~~~~~~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1537:30: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1537 | obj->last_addr = mem->last_addr; | ^~~~~~~~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1540:23: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1540 | obj->msb = mem->msb; | ^~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1541:23: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1541 | obj->lsb = mem->lsb; | ^~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ mv array.d dep/array.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_island.cc -o vvp_island.o mv vvp_island.d dep/vvp_island.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_cobject.cc -o vvp_cobject.o mv vvp_cobject.d dep/vvp_cobject.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_net_sig.cc -o vvp_net_sig.o mv vvp_net_sig.d dep/vvp_net_sig.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c udp.cc -o udp.o mv udp.d dep/udp.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c logic.cc -o logic.o mv logic.d dep/logic.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c schedule.cc -o schedule.o mv schedule.d dep/schedule.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c event.cc -o event.o mv event.d dep/event.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_net.cc -o vvp_net.o mv vvp_net.d dep/vvp_net.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c words.cc -o words.o mv words.d dep/words.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c delay.cc -o delay.o mv delay.d dep/delay.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_callback.cc -o vpi_callback.o mv vpi_callback.d dep/vpi_callback.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_modules.cc -o vpi_modules.o mv vpi_modules.d dep/vpi_modules.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_bit.cc -o vpi_bit.o mv vpi_bit.d dep/vpi_bit.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_cobject.cc -o vpi_cobject.o mv vpi_cobject.d dep/vpi_cobject.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c island_tran.cc -o island_tran.o mv island_tran.d dep/island_tran.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_const.cc -o vpi_const.o mv vpi_const.d dep/vpi_const.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_darray.cc -o vpi_darray.o mv vpi_darray.d dep/vpi_darray.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_mcd.cc -o vpi_mcd.o mv vpi_mcd.d dep/vpi_mcd.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_iter.cc -o vpi_iter.o mv vpi_iter.d dep/vpi_iter.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_real.cc -o vpi_real.o mv vpi_real.d dep/vpi_real.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_priv.cc -o vpi_priv.o mv vpi_priv.d dep/vpi_priv.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_event.cc -o vpi_event.o mv vpi_event.d dep/vpi_event.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_scope.cc -o vpi_scope.o mv vpi_scope.d dep/vpi_scope.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_time.cc -o vpi_time.o mv vpi_time.d dep/vpi_time.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_signal.cc -o vpi_signal.o vpi_signal.cc: In function '__vpiHandle* fill_in_net4(__vpiSignal*, __vpiScope*, const char*, int, int, bool, vvp_net_t*)': vpi_signal.cc:1270:35: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1270 | obj->msb = __vpiDecConst(msb); | ^ In file included from parse_misc.h:22, from compile.h:26, from vpi_signal.cc:25: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ vpi_signal.cc:1271:35: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1271 | obj->lsb = __vpiDecConst(lsb); | ^ In file included from parse_misc.h:22, from compile.h:26, from vpi_signal.cc:25: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ mv vpi_signal.d dep/vpi_signal.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpip_bin.cc -o vpip_bin.o mv vpip_bin.d dep/vpip_bin.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_string.cc -o vpi_string.o mv vpi_string.d dep/vpi_string.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpip_format.cc -o vpip_format.o mv vpip_format.d dep/vpip_format.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_vpi.cc -o vvp_vpi.o mv vvp_vpi.d dep/vvp_vpi.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' ../version.exe `head -1 ./vvp.man.in`'\n' > vvp.man tail -n +2 ./vvp.man.in >> vvp.man make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_vthr_vector.cc -o vpi_vthr_vector.o mv vpi_vthr_vector.d dep/vpi_vthr_vector.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpip_hex.cc -o vpip_hex.o mv vpip_hex.d dep/vpip_hex.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c tables.cc -o tables.o mv tables.d dep/tables.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpip_oct.cc -o vpip_oct.o mv vpip_oct.d dep/vpip_oct.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpip_to_dec.cc -o vpip_to_dec.o mv vpip_to_dec.d dep/vpip_to_dec.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_tasks.cc -o vpi_tasks.o mv vpi_tasks.d dep/vpi_tasks.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_darray.cc -o vvp_darray.o mv vvp_darray.d dep/vvp_darray.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c parse.cc -o parse.o mv parse.d dep/parse.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lexor.cc -o lexor.o mv lexor.d dep/lexor.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib64/ivl"' -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vthread.cc -o vthread.o mv vthread.d dep/vthread.d make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' x86_64-alt-linux-g++ -rdynamic -o vvp main.o parse.o parse_misc.o lexor.o arith.o array_common.o array.o bufif.o compile.o concat.o dff.o class_type.o enum_type.o extend.o file_line.o latch.o npmos.o part.o permaheap.o reduce.o resolv.o sfunc.o stop.o substitute.o symbols.o ufunc.o codes.o vthread.o schedule.o statistics.o tables.o udp.o vvp_island.o vvp_net.o vvp_net_sig.o vvp_object.o vvp_cobject.o vvp_darray.o event.o logic.o delay.o words.o island_tran.o vpi_modules.o vpi_bit.o vpi_callback.o vpi_cobject.o vpi_const.o vpi_darray.o vpi_event.o vpi_iter.o vpi_mcd.o vpi_priv.o vpi_scope.o vpi_real.o vpi_signal.o vpi_string.o vpi_tasks.o vpi_time.o vpi_vthr_vector.o vpip_bin.o vpip_hex.o vpip_oct.o vpip_to_dec.o vpip_format.o vvp_vpi.o -lm -lbz2 -lz -lpthread -lreadline -ldl make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -c libvpi.c rm -f libvpi.a ar cqv libvpi.a libvpi.o a - libvpi.o ranlib libvpi.a make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_darray.c -o sys_darray.o mv sys_darray.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_deposit.c -o sys_deposit.o mv sys_deposit.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_table.c -o sys_table.o mv sys_table.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_countdrivers.c -o sys_countdrivers.o mv sys_countdrivers.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_convert.c -o sys_convert.o mv sys_convert.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_finish.c -o sys_finish.o mv sys_finish.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_icarus.c -o sys_icarus.o mv sys_icarus.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' flex -t sys_readmem_lex.lex > sys_readmem_lex.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_random_mti.c -o sys_random_mti.o mv sys_random_mti.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_plusargs.c -o sys_plusargs.o mv sys_plusargs.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_readmem.c -o sys_readmem.o mv sys_readmem.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_time.c -o sys_time.o mv sys_time.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_vcdoff.c -o sys_vcdoff.o mv sys_vcdoff.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_fileio.c -o sys_fileio.o mv sys_fileio.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_random.c -o sys_random.o mv sys_random.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_sdf.c -o sys_sdf.o mv sys_sdf.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c mt19937int.c -o mt19937int.o mv mt19937int.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' flex -t sdf_lexor.lex > sdf_lexor.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vcd_priv.c -o vcd_priv.o mv vcd_priv.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_queue.c -o sys_queue.o mv sys_queue.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c stringheap.c -o stringheap.o mv stringheap.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' bison --verbose -t -p tblmod -d -o table_mod_parse.c table_mod_parse.y make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' flex -t table_mod_lexor.lex > table_mod_lexor.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_vcd.c -o sys_vcd.o mv sys_vcd.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vams_simparam.c -o vams_simparam.o mv vams_simparam.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_priv.c -o sys_priv.o mv sys_priv.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' bison --verbose -t -p sdf -d -o sdf_parse.c sdf_parse.y make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_scanf.c -o sys_scanf.o mv sys_scanf.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c table_mod.c -o table_mod.o mv table_mod.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_lxt.c -o sys_lxt.o mv sys_lxt.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_lxt2.c -o sys_lxt2.o mv sys_lxt2.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_display.c -o sys_display.o mv sys_display.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_fst.c -o sys_fst.o mv sys_fst.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_clog2.c -o sys_clog2.o mv sys_clog2.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c va_math.c -o va_math.o mv va_math.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c fastlz.c -o fastlz.o mv fastlz.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c v2009_table.c -o v2009_table.o mv v2009_table.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c v2005_math.c -o v2005_math.o mv v2005_math.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c v2009_array.c -o v2009_array.o mv v2009_array.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c v2009_bitvec.c -o v2009_bitvec.o mv v2009_bitvec.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c v2009_string.c -o v2009_string.o mv v2009_string.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c v2009_enum.c -o v2009_enum.o mv v2009_enum.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdl_table.c -o vhdl_table.o mv vhdl_table.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vpi_debug.c -o vpi_debug.o mv vpi_debug.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lxt2_write.c -o lxt2_write.o mv lxt2_write.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lxt_write.c -o lxt_write.o mv lxt_write.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdl_textio.c -o vhdl_textio.o mv vhdl_textio.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-g++ -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vcd_priv2.cc -o vcd_priv2.o mv vcd_priv2.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sys_readmem_lex.c -o sys_readmem_lex.o mv sys_readmem_lex.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -shared -o v2005_math.vpi sys_clog2.o v2005_math.o -L. -lvpi make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -shared -o v2009.vpi v2009_table.o v2009_array.o v2009_bitvec.o v2009_enum.o v2009_string.o sys_priv.o -L. -lvpi -lm -lbz2 -lz -lpthread -lreadline make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -shared -o va_math.vpi va_math.o -L. -lvpi make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sdf_parse.c -o sdf_parse.o mv sdf_parse.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -shared -o vhdl_sys.vpi vhdl_table.o sys_priv.o -L. -lvpi -lm -lbz2 -lz -lpthread -lreadline make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -shared -o vpi_debug.vpi vpi_debug.o -L. -lvpi -lm -lbz2 -lz -lpthread -lreadline make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -shared -o vhdl_textio.vpi vhdl_textio.o sys_priv.o -L. -lvpi -lm -lbz2 -lz -lpthread -lreadline make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c table_mod_parse.c -o table_mod_parse.o mv table_mod_parse.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sdf_lexor.c -o sdf_lexor.o mv sdf_lexor.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c table_mod_lexor.c -o table_mod_lexor.o mv table_mod_lexor.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lz4.c -o lz4.o mv lz4.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c fstapi.c -o fstapi.o mv fstapi.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' x86_64-alt-linux-g++ -shared -o system.vpi sys_table.o sys_convert.o sys_countdrivers.o sys_darray.o sys_deposit.o sys_display.o sys_fileio.o sys_finish.o sys_icarus.o sys_plusargs.o sys_queue.o sys_random.o sys_random_mti.o sys_readmem.o sys_readmem_lex.o sys_scanf.o sys_sdf.o sys_time.o sys_vcd.o sys_vcdoff.o vcd_priv.o mt19937int.o sys_priv.o sdf_parse.o sdf_lexor.o stringheap.o vams_simparam.o table_mod.o table_mod_parse.o table_mod_lexor.o sys_lxt.o lxt_write.o sys_lxt2.o lxt2_write.o sys_fst.o fstapi.o fastlz.o lz4.o vcd_priv2.o -L. -lvpi -lm -lbz2 -lz -lpthread -lreadline make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c asynch.c -o asynch.o mv asynch.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c getcstringp.c -o getcstringp.o mv getcstringp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c exprinfo.c -o exprinfo.o mv exprinfo.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c finish.c -o finish.o mv finish.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c getinstance.c -o getinstance.o mv getinstance.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c delay.c -o delay.o mv delay.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c getlongp.c -o getlongp.o mv getlongp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c io_print.c -o io_print.o mv io_print.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c getp.c -o getp.o getp.c: In function 'tf_igetp': getp.c:55:12: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 55 | rtn = (int) value.value.str; /* Oh my */ | ^ mv getp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c nodeinfo.c -o nodeinfo.o mv nodeinfo.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c math.c -o math.o mv math.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c mc_scan_plusargs.c -o mc_scan_plusargs.o mv mc_scan_plusargs.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c putlongp.c -o putlongp.o mv putlongp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c nump.c -o nump.o mv nump.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c getsimtime.c -o getsimtime.o mv getsimtime.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c spname.c -o spname.o mv spname.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c typep.c -o typep.o mv typep.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c workarea.c -o workarea.o mv workarea.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c putp.c -o putp.o mv putp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c priv.c -o priv.o mv priv.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_close.c -o a_close.o mv a_close.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_compare_handles.c -o a_compare_handles.o mv a_compare_handles.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_argv.c -o a_fetch_argv.o mv a_fetch_argv.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_configure.c -o a_configure.o mv a_configure.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_argc.c -o a_fetch_argc.o mv a_fetch_argc.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_dir.c -o a_fetch_dir.o mv a_fetch_dir.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_fullname.c -o a_fetch_fullname.o mv a_fetch_fullname.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_location.c -o a_fetch_location.o mv a_fetch_location.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_param.c -o a_fetch_param.o mv a_fetch_param.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_range.c -o a_fetch_range.o mv a_fetch_range.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_time.c -o a_fetch_time.o mv a_fetch_time.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_type.c -o a_fetch_type.o mv a_fetch_type.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_type_str.c -o a_fetch_type_str.o mv a_fetch_type_str.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c veriusertfs.c -o veriusertfs.o mv veriusertfs.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_tfarg.c -o a_fetch_tfarg.o mv a_fetch_tfarg.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_fetch_value.c -o a_fetch_value.o mv a_fetch_value.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_handle_by_name.c -o a_handle_by_name.o mv a_handle_by_name.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_handle_hiconn.c -o a_handle_hiconn.o mv a_handle_hiconn.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_handle_object.c -o a_handle_object.o mv a_handle_object.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_handle_parent.c -o a_handle_parent.o mv a_handle_parent.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_handle_simulated_net.c -o a_handle_simulated_net.o mv a_handle_simulated_net.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_handle_tfarg.c -o a_handle_tfarg.o mv a_handle_tfarg.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_initialize.c -o a_initialize.o mv a_initialize.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_next_bit.c -o a_next_bit.o mv a_next_bit.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_next_port.c -o a_next_port.o mv a_next_port.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_next_topmod.c -o a_next_topmod.o mv a_next_topmod.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_next.c -o a_next.o mv a_next.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_product_version.c -o a_product_version.o mv a_product_version.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_version.c -o a_version.o mv a_version.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_object_of_type.c -o a_object_of_type.o mv a_object_of_type.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_set_value.c -o a_set_value.o mv a_set_value.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c a_vcl.c -o a_vcl.o mv a_vcl.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' ld -r -o libveriuser.o asynch.o delay.o exprinfo.o finish.o getcstringp.o getinstance.o getlongp.o getp.o getsimtime.o io_print.o math.o mc_scan_plusargs.o nodeinfo.o nump.o putlongp.o putp.o spname.o typep.o workarea.o veriusertfs.o priv.o a_close.o a_compare_handles.o a_configure.o a_fetch_argc.o a_fetch_argv.o a_fetch_dir.o a_fetch_fullname.o a_fetch_location.o a_fetch_param.o a_fetch_range.o a_fetch_tfarg.o a_fetch_time.o a_fetch_type.o a_fetch_type_str.o a_fetch_value.o a_handle_by_name.o a_handle_hiconn.o a_handle_object.o a_handle_parent.o a_handle_simulated_net.o a_handle_tfarg.o a_initialize.o a_next.o a_next_bit.o a_next_port.o a_next_topmod.o a_object_of_type.o a_product_version.o a_set_value.o a_vcl.o a_version.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' rm -f libveriuser.a ar cvq libveriuser.a libveriuser.o a - libveriuser.o ranlib libveriuser.a make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c cadpli.c mv cadpli.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' x86_64-alt-linux-gcc -shared -o cadpli.vpl cadpli.o ../libveriuser/libveriuser.o -L../vpi -lvpi make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c null.c -o null.o mv null.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' x86_64-alt-linux-gcc -shared -o null.tgt null.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c classes.c -o classes.o mv classes.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c constant.c -o constant.o mv constant.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c enumerate.c -o enumerate.o mv enumerate.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c switches.c -o switches.o mv switches.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c types.c -o types.o mv types.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expression.c -o expression.o mv expression.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c statement.c -o statement.o mv statement.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c stub.c -o stub.o mv stub.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' x86_64-alt-linux-gcc -shared -o stub.tgt stub.o classes.o constant.o enumerate.o expression.o statement.o switches.o types.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_switch.c -o draw_switch.o mv draw_switch.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_enum.c -o draw_enum.o mv draw_enum.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_substitute.c -o draw_substitute.o mv draw_substitute.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_delay.c -o draw_delay.o mv draw_delay.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_class.c -o draw_class.o mv draw_class.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_mux.c -o draw_mux.o mv draw_mux.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp.c -o vvp.o mv vvp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_bool.c -o eval_bool.o mv eval_bool.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_ufunc.c -o draw_ufunc.o mv draw_ufunc.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_vpi.c -o draw_vpi.o mv draw_vpi.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_condit.c -o eval_condit.o mv eval_condit.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_expr.c -o eval_expr.o mv eval_expr.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_object.c -o eval_object.o mv eval_object.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_string.c -o eval_string.o mv eval_string.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' echo 'flag:VVP_EXECUTABLE=/usr/bin/vvp' | cat ./vvp.conf.in - > vvp.conf make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c draw_net_input.c -o draw_net_input.o mv draw_net_input.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' echo 'flag:VVP_EXECUTABLE=/usr/bin/vvp' | cat ./vvp-s.conf.in - > vvp-s.conf make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_real.c -o eval_real.o mv eval_real.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c modpath.c -o modpath.o mv modpath.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c eval_vec4.c -o eval_vec4.o mv eval_vec4.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c stmt_assign.c -o stmt_assign.o mv stmt_assign.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_process.c -o vvp_process.o mv vvp_process.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vvp_scope.c -o vvp_scope.o mv vvp_scope.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' x86_64-alt-linux-gcc -shared -o vvp.tgt vvp.o draw_class.o draw_delay.o draw_enum.o draw_mux.o draw_net_input.o draw_substitute.o draw_switch.o draw_ufunc.o draw_vpi.o eval_bool.o eval_condit.o eval_expr.o eval_object.o eval_real.o eval_string.o eval_vec4.o modpath.o stmt_assign.o vvp_process.o vvp_scope.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdl.cc -o vhdl.o mv vhdl.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdl_element.cc -o vhdl_element.o mv vhdl_element.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdl_type.cc -o vhdl_type.o mv vhdl_type.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c process.cc -o process.o mv process.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm.cc -o lpm.o mv lpm.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c support.cc -o support.o mv support.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c state.cc -o state.o mv state.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expr.cc -o expr.o mv expr.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c cast.cc -o cast.o mv cast.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c logic.cc -o logic.o mv logic.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vhdl_syntax.cc -o vhdl_syntax.o mv vhdl_syntax.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c scope.cc -o scope.o mv scope.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c stmt.cc -o stmt.o mv stmt.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' x86_64-alt-linux-g++ -shared -o vhdl.tgt vhdl.o state.o vhdl_element.o vhdl_type.o vhdl_syntax.o scope.o process.o stmt.o expr.o lpm.o support.o cast.o logic.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c event.c -o event.o mv event.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c vlog95.c -o vlog95.o mv vlog95.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c numbers.c -o numbers.o mv numbers.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c udp.c -o udp.o mv udp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c misc.c -o misc.o mv misc.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c expr.c -o expr.o mv expr.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c scope.c -o scope.o mv scope.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c stmt.c -o stmt.o mv stmt.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c logic_lpm.c -o logic_lpm.o mv logic_lpm.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' x86_64-alt-linux-gcc -shared -o vlog95.tgt vlog95.o event.o expr.o logic_lpm.o misc.o numbers.o scope.o stmt.o udp.o -lm make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' flex -s -ofp_lex.cc ./fp.lex make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' bison --verbose -t -p fp --defines=fp.h -o fp.cc fp.y make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c pcb.cc -o pcb.o mv pcb.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c show_netlist.cc -o show_netlist.o mv show_netlist.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c fp_lex.cc -o fp_lex.o mv fp_lex.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c show_pcb.cc -o show_pcb.o mv show_pcb.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c fp.cc -o fp.o mv fp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c footprint.cc -o footprint.o mv footprint.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c scope.cc -o scope.o mv scope.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' x86_64-alt-linux-g++ -shared -o pcb.tgt pcb.o scope.o show_netlist.o show_pcb.o footprint.o fp.o fp_lex.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c constants.cc -o constants.o mv constants.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm.cc -o lpm.o mv lpm.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_ff.cc -o lpm_ff.o mv lpm_ff.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_cmp_eq.cc -o lpm_cmp_eq.o mv lpm_cmp_eq.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c logic_gate.cc -o logic_gate.o mv logic_gate.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_cmp_gt.cc -o lpm_cmp_gt.o mv lpm_cmp_gt.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c blif.cc -o blif.o mv blif.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_part_vp.cc -o lpm_part_vp.o mv lpm_part_vp.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_add.cc -o lpm_add.o mv lpm_add.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_shift.cc -o lpm_shift.o mv lpm_shift.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_re_logic.cc -o lpm_re_logic.o mv lpm_re_logic.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_sign_ext.cc -o lpm_sign_ext.o mv lpm_sign_ext.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c lpm_mux.cc -o lpm_mux.o mv lpm_mux.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c nex_data.cc -o nex_data.o mv nex_data.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' x86_64-alt-linux-g++ -shared -o blif.tgt blif.o constants.o logic_gate.o lpm.o lpm_add.o lpm_cmp_eq.o lpm_cmp_gt.o lpm_ff.o lpm_mux.o lpm_part_vp.o lpm_re_logic.o lpm_shift.o lpm_sign_ext.o nex_data.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c scan_logs.cc -o scan_logs.o mv scan_logs.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c scan_lpms.cc -o scan_lpms.o mv scan_lpms.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' x86_64-alt-linux-g++ -I. -I.. -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c sizer.cc -o sizer.o mv sizer.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' x86_64-alt-linux-g++ -shared -o sizer.tgt sizer.o scan_lpms.o scan_logs.o make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' mkdir dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' flex -s -t cflexor.lex > cflexor.c make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' ../version.exe `head -1 ./iverilog.man.in`'\n' > iverilog.man tail -n +2 ./iverilog.man.in >> iverilog.man make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c substit.c -o substit.o mv substit.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' bison --verbose -t -p cf -d -o cfparse.c cfparse.y make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c cfparse.c -o cfparse.o mv cfparse.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c -DIVL_ROOT='"/usr/lib64/ivl"' -DIVL_SUFFIX='""' -DIVL_INC='"/usr/include"' -DIVL_LIB='"/usr/lib64"' -DDLLIB='"-ldl"' ./main.c ./main.c: In function 'main': ./main.c:473:39: warning: '%s' directive output may be truncated writing up to 4095 bytes into a region of size 4092 [-Wformat-truncation=] 473 | snprintf(tmp, sizeof tmp, " -C\"%s\"", iconfig_common_path); | ^~ ~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/stdio.h:866, from ./main.c:53: /usr/include/bits/stdio2.h:70:10: note: '__builtin___snprintf_chk' output between 6 and 4101 bytes into a destination of size 4096 70 | return __builtin___snprintf_chk (__s, __n, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 71 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ./main.c:337:58: warning: '%s' directive output may be truncated writing up to 4095 bytes into a region of size 4080 [-Wformat-truncation=] 337 | snprintf(tmp, sizeof tmp, "%s%civl -V -C\"%s\" -C\"%s\"", base, sep, | ^~ 338 | iconfig_path, iconfig_common_path); | ~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/stdio.h:866, from ./main.c:53: /usr/include/bits/stdio2.h:70:10: note: '__builtin___snprintf_chk' output 18 or more bytes (assuming 4113) into a destination of size 4096 70 | return __builtin___snprintf_chk (__s, __n, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 71 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ mv main.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' x86_64-alt-linux-gcc -I. -I.. -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -pipe -frecord-gcc-switches -Wall -g -O2 -MD -c cflexor.c -o cflexor.o mv cflexor.d dep make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' x86_64-alt-linux-gcc main.o substit.o cflexor.o cfparse.o -o iverilog make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.89560 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/iverilog-buildroot + : + /bin/rm -rf -- /usr/src/tmp/iverilog-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games + cd iverilog-11.0 + make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/iverilog-buildroot make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' ./mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" \ "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog" \ "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" \ "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/include" \ "/usr/src/tmp/iverilog-buildroot/usr/share/man" \ "/usr/src/tmp/iverilog-buildroot/usr/share/man/man1" mkdir -p -- /usr/src/tmp/iverilog-buildroot/usr/bin /usr/src/tmp/iverilog-buildroot/usr/include/iverilog /usr/src/tmp/iverilog-buildroot/usr/lib64/ivl /usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/include /usr/src/tmp/iverilog-buildroot/usr/share/man /usr/src/tmp/iverilog-buildroot/usr/share/man/man1 /usr/libexec/rpm-build/install -p -m 644 iverilog-vpi.man "/usr/src/tmp/iverilog-buildroot/usr/share/man/man1/iverilog-vpi.1" /usr/libexec/rpm-build/install -p ./iverilog-vpi "/usr/src/tmp/iverilog-buildroot/usr/bin/iverilog-vpi" /usr/libexec/rpm-build/install -p ./ivl "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/ivl" /usr/libexec/rpm-build/install -p -m 644 ./constants.vams "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/include/constants.vams" /usr/libexec/rpm-build/install -p -m 644 ./disciplines.vams "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/include/disciplines.vams" /usr/libexec/rpm-build/install -p -m 644 ./ivl_target.h "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog/ivl_target.h" /usr/libexec/rpm-build/install -p -m 644 ./_pli_types.h "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog/_pli_types.h" /usr/libexec/rpm-build/install -p -m 644 ./sv_vpi_user.h "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog/sv_vpi_user.h" /usr/libexec/rpm-build/install -p -m 644 ./vpi_user.h "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog/vpi_user.h" /usr/libexec/rpm-build/install -p -m 644 ./acc_user.h "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog/acc_user.h" /usr/libexec/rpm-build/install -p -m 644 ./veriuser.h "/usr/src/tmp/iverilog-buildroot/usr/include/iverilog/veriuser.h" make -C ivlpp install && make -C vhdlpp install && make -C vvp install && make -C vpi install && make -C libveriuser install && make -C cadpli install && make -C tgt-null install && make -C tgt-stub install && make -C tgt-vvp install && make -C tgt-vhdl install && make -C tgt-vlog95 install && make -C tgt-pcb install && make -C tgt-blif install && make -C tgt-sizer install && make -C driver install && true make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./ivlpp "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/ivlpp" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./vhdlpp "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vhdlpp" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/lib64" "/usr/src/tmp/iverilog-buildroot/usr/share/man/man1" /usr/libexec/rpm-build/install -p -m 644 vvp.man "/usr/src/tmp/iverilog-buildroot/usr/share/man/man1/vvp.1" /usr/libexec/rpm-build/install -p ./vvp "/usr/src/tmp/iverilog-buildroot/usr/bin/vvp" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64" "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p -m 644 ./libvpi.a "/usr/src/tmp/iverilog-buildroot/usr/lib64/libvpi.a" /usr/libexec/rpm-build/install -p ./system.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/system.vpi" /usr/libexec/rpm-build/install -p ./va_math.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/va_math.vpi" /usr/libexec/rpm-build/install -p ./v2005_math.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/v2005_math.vpi" /usr/libexec/rpm-build/install -p ./v2009.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/v2009.vpi" /usr/libexec/rpm-build/install -p ./vhdl_sys.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vhdl_sys.vpi" /usr/libexec/rpm-build/install -p ./vhdl_textio.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vhdl_textio.vpi" /usr/libexec/rpm-build/install -p ./vpi_debug.vpi "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vpi_debug.vpi" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64" /usr/libexec/rpm-build/install -p -m 644 ./libveriuser.a "/usr/src/tmp/iverilog-buildroot/usr/lib64/libveriuser.a" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./cadpli.vpl "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/cadpli.vpl" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./null.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/null.tgt" /usr/libexec/rpm-build/install -p -m 644 ./null.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/null.conf" /usr/libexec/rpm-build/install -p -m 644 ./null-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/null-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./stub.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/stub.tgt" /usr/libexec/rpm-build/install -p -m 644 ./stub.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/stub.conf" /usr/libexec/rpm-build/install -p -m 644 ./stub-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/stub-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./vvp.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vvp.tgt" /usr/libexec/rpm-build/install -p -m 644 ./vvp.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vvp.conf" /usr/libexec/rpm-build/install -p -m 644 ./vvp-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vvp-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./vhdl.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vhdl.tgt" /usr/libexec/rpm-build/install -p -m 644 ./vhdl.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vhdl.conf" /usr/libexec/rpm-build/install -p -m 644 ./vhdl-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vhdl-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./vlog95.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vlog95.tgt" /usr/libexec/rpm-build/install -p -m 644 ./vlog95.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vlog95.conf" /usr/libexec/rpm-build/install -p -m 644 ./vlog95-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/vlog95-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./pcb.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/pcb.tgt" /usr/libexec/rpm-build/install -p -m 644 ./pcb.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/pcb.conf" /usr/libexec/rpm-build/install -p -m 644 ./pcb-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/pcb-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./blif.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/blif.tgt" /usr/libexec/rpm-build/install -p -m 644 ./blif.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/blif.conf" /usr/libexec/rpm-build/install -p -m 644 ./blif-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/blif-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl" /usr/libexec/rpm-build/install -p ./sizer.tgt "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/sizer.tgt" /usr/libexec/rpm-build/install -p -m 644 ./sizer.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/sizer.conf" /usr/libexec/rpm-build/install -p -m 644 ./sizer-s.conf "/usr/src/tmp/iverilog-buildroot/usr/lib64/ivl/sizer-s.conf" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' ./../mkinstalldirs "/usr/src/tmp/iverilog-buildroot/usr/bin" "/usr/src/tmp/iverilog-buildroot/usr/share/man/man1" /usr/libexec/rpm-build/install -p -m 644 iverilog.man "/usr/src/tmp/iverilog-buildroot/usr/share/man/man1/iverilog.1" /usr/libexec/rpm-build/install -p ./iverilog "/usr/src/tmp/iverilog-buildroot/usr/bin/iverilog" make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' + mkdir -p /usr/src/tmp/iverilog-buildroot/usr/share/doc/iverilog-11.0/examples/ + install -m644 BUGS.txt QUICK_START.txt README.txt attributes.txt cygwin.txt developer-quick-start.txt extensions.txt glossary.txt ieee1364-notes.txt ivl_target.txt lpm.txt mingw-cross.txt mingw.txt netlist.txt swift.txt t-dll.txt va_math.txt vpi.txt xilinx-hint.txt /usr/src/tmp/iverilog-buildroot/usr/share/doc/iverilog-11.0/ + install -m644 examples/clbff.v examples/des.v examples/hello.vl examples/hello_vpi.c examples/hello_vpi.vl examples/outff.v examples/pal_reg.v examples/show_vcd.vl examples/sqrt-virtex.v examples/sqrt.vl examples/xnf_add.vl examples/xram16x1.v /usr/src/tmp/iverilog-buildroot/usr/share/doc/iverilog-11.0/examples/ + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/iverilog-buildroot (auto) mode of './usr/lib64/ivl/sizer.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/blif.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/pcb.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/vlog95.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/vhdl.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/vvp.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/stub.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/null.tgt' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/cadpli.vpl' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/vpi_debug.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/vhdl_textio.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/vhdl_sys.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/v2009.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/v2005_math.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/va_math.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) mode of './usr/lib64/ivl/system.vpi' changed from 0755 (rwxr-xr-x) to 0644 (rw-r--r--) Verifying and fixing files in /usr/src/tmp/iverilog-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/iverilog-buildroot/ (default) Compressing files in /usr/src/tmp/iverilog-buildroot (auto) Adjusting library links in /usr/src/tmp/iverilog-buildroot ./usr/lib64: (from :0) Verifying ELF objects in /usr/src/tmp/iverilog-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=relaxed) verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_process_file verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_child verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_lpm_type verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_childs verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_lpm_size verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_nexus_ptr verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_logic_width verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_logic_type verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_process_attr_val verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_design_process verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_lpm verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_logs verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_process_lineno verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_nexus_ptr_sig verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_log verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_lpm_q verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_lpms verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_signal_width verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_lpm_width verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_scope_name verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_nexus_ptrs verify-elf: WARNING: ./usr/lib64/ivl/sizer.tgt: undefined symbol: ivl_process_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_nexus_get_private verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_file verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_process_file verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_width verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_select verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_logs verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_design_const verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_lpms verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_nexus_set_private verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_async_set verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_nex verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_sigs verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_signed verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_const_scope verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_size verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_logic_width verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_lineno verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_enable verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_logic_pins verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_const_nex verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_packed_lsb verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_selects verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_nexus_ptr_sig verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_base verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_clk verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_q verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_async_clr verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_log verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_width verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_const_bits verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_logic_pin verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_nexus_ptrs verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_scope verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_type verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_port verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_packed_msb verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_process_lineno verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_childs verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_packed_dimensions verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_sig verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_design_process verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_lpm_data verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_nexus_ptr verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_lpm verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_child verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_logic_type verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_scope_parent verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_design_consts verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_signal_basename verify-elf: WARNING: ./usr/lib64/ivl/blif.tgt: undefined symbol: ivl_const_width verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_nexus_get_private verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_signal_array_count verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_nexus_set_private verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_parameter_basename verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_signal_nex verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_expr_type verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_parameter_expr verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_tname verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_sigs verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_name verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_params verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_children verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_expr_string verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_signal_port verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_attr_val verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_sig verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_scope_param verify-elf: WARNING: ./usr/lib64/ivl/pcb.tgt: undefined symbol: ivl_signal_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_expr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_process_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_b verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_sync_clr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_event verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_design_process verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_needs_t0_trigger verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_string verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_clk verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_data_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_array_count verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_sel_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_parm verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_sig verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_local verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_events verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_oper1 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_sigs verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_signed verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_process_stmt verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_case_stmt verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_delay_expr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_enable verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_oper3 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_ports verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_statement_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_signal verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_opcode verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_type_prop_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_q verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_base verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_nin verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_bits verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_packed_msb verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_time_precision verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_idx verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_delay_val verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_con verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_sync_set verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_nany verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_property_idx verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_drive0 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_sset_value verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_call verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_dvalue verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_condit verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_drive1 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_design_time_precision verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_integer verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_param verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_row verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptrs verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_neg verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_cond_true verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_cond_expr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_def_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_npath verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_nest verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_case_expr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_opcode verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_type_prop_name verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_nex verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_aset_value verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_drive0 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_mod_port verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_event verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_array verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_def verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_lpm verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_delay_val verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_lvals verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_def verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_init verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_signed verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_nneg verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_port verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_delay verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_set_private verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_bits verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_parameter verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_net_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_source_posedge verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_process_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_is_parallel verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_design_delay_sel verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_string verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_udp verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_value verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_repeat verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_nevent verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_a verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_pin verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_source_negedge verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_pos verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_define verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_sub_stmt verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_name verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_is_cell verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_negedge verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_lpm verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_pin verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_sequ verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_process_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_block_count verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_switches verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_size verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_enable verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_signed verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_oper2 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_sig verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_source verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_name verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_is_auto verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_lpms verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_npos verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_array_base verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_port verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_msb verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_logs verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_parm verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_data verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_pins verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_drive1 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_async_set verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_cond_false verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_def_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_parms verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_signed verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_selects verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_async_clr verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_case_count verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_time_units verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_delay verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_drive0 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_switch verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_block_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_part_off verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_scope verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_name verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_rval verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_select verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_path_is_condit verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_children verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_block_stmt verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_lval verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_any verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_drive1 verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_delay verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_uvalue verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_parent verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lpm_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_sel_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_process_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_get_private verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_log verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_expr_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_tname verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_params verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_lsb verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_name verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_port verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_delay verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_packed_lsb verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_udp_rows verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_parm_count verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_lval_sig verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_path verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_lineno verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_stmt_file verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_array_addr_swapped verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_nexus_ptr_log verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_type_base verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_parameter_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_real verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_event_basename verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_packed_dimensions verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_const_width verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_is_cassign verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_logic_delay verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_scope_events verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_nex verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_signal_dimensions verify-elf: WARNING: ./usr/lib64/ivl/vlog95.tgt: undefined symbol: ivl_switch_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_get_private verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptr_log verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_process_stmt verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_signed verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_array_count verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lval_width verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_process_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_width verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_cond_expr verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptr_con verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_array verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_lineno verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_select verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_name verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_delay verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_event_npos verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_logs verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_lpms verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lval_part_off verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_lineno verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_set_private verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_parameter_basename verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_parm_count verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_signed verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_block_count verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lval_idx verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_name verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_lval verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_nex verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_port verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_cond_false verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_def verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_udp_row verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_parameter_expr verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_tname verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_oper3 verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_sigs verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_width verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_udp verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_event_nany verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_signed verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_scope verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_parm verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_size verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_define verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_scope verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_dvalue verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_width verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_lvals verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_pins verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_delay_expr verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_oper2 verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_name verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_event_pos verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_selects verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_case_stmt verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptr_sig verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_base verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_q verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_uvalue verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_params verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_children verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptr_lpm verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_const_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_events verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_block_stmt verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_udp_nin verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_rval verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_log verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_name verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_block_scope verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_basename verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_width verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_const_bits verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_pin verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptrs verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_process_scope verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_string verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_delay_val verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_signal verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_case_expr verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_def verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_basename verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_delay_val verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_udp_sequ verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lval_sig verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_udp_name verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_nevent verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_parms verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_repeat verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_port verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_time_precision verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_time_units verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_process_lineno verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptr_pin verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_const_signed verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_event_any verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_sig verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_bits verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_design_process verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_array_base verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_local verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_lpm_data verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_opcode verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_statement_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_event_nneg verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_ports verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_param verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_event_neg verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_cond_true verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_nexus_ptr verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_def_lineno verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_lpm verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_lineno verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_sub_stmt verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_lineno verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_logic_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_case_count verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_parent verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_parm verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_scope_def_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_udp_rows verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_const_real verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_stmt_call verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_oper1 verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_dimensions verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_signal_basename verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_expr_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_process_type verify-elf: WARNING: ./usr/lib64/ivl/vhdl.tgt: undefined symbol: ivl_const_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_parameter_expr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_process_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_b verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_mod_module_port_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_sync_clr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_event verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_design_process verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_needs_t0_trigger verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_packed_dimensions verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_string verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_clk verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_data_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_array_count verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_parm verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_sig verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_local verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_events verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_net_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_oper1 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_sigs verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_signed verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_enum_signed verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_enum_bits verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_process_stmt verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_island_flag_set verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_case_stmt verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_delay_expr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_enable verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_func_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_oper3 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_ports verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_statement_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_signal verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_basename verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_opcode verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_prop_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_q verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_base verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_process_attr_val verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_udp_nin verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_const_bits verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_sfunc_as_task verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_packed_msb verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_parameter_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_signed verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_time_precision verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lval_idx verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_delay_val verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_con verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_sync_set verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_nany verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lval_property_idx verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_drive0 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_call verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_dvalue verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_condit verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_drive1 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_design_time_precision verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_integer verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_param verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_udp_row verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptrs verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_parameter_basename verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_neg verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_cond_true verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_cond_expr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_def_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_switch verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_npath verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lval_nest verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_case_expr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_opcode verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_prop_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_aset_value verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_drive0 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_event verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_array verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_def verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_lpm verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_property_idx verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_delay_val verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_lvals verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_packed_msb verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_def verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_mod_module_ports verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_udp_init verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_signed verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_enum_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_nneg verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_properties verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_delay verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_set_private verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_mod_module_port_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_enumtype verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_bits verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_parameter verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_net_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_source_posedge verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_func_signed verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_design_delay_sel verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lval_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_string verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_udp verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_lwidth verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_value verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_repeat verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_nevent verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_a verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_process_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_island verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_pin verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_source_negedge verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_pos verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_define verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_sub_stmt verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_is_cell verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_negedge verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_lpm verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_pin verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_udp_sequ verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_block_count verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_switches verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_size verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_enable verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_signed verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_oper2 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_sig verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_source verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_udp_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_is_auto verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_lpms verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_npos verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_enumerates verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_offset verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_array_base verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_port verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_logs verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_parameter_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_file_table_size verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_packed_lsb verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_enum_names verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_parm verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_data verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_lineno verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_pins verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_enum_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_drive1 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_async_set verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_file_table_index verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_element verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_parameter_local verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_cond_false verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_part verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_def_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_parms verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_classes verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_selects verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_async_clr verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_case_count verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_time_units verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_delay verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_island_flag_test verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_drive0 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_switch verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_block_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lval_part_off verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_scope verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_rval verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_select verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_path_is_condit verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_children verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_block_stmt verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_file_table_item verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_lval verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_case_quality verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_any verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_drive1 verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_delay verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_uvalue verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_class verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_const_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_basename verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_process_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_get_private verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_log verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_expr_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_tname verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_params verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_func_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_name verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_port verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_const_delay verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_forced_net verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_packed_lsb verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_udp_rows verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_parm_count verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lval_sig verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_path verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_stmt_file verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_array_addr_swapped verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_nexus_ptr_log verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_type_base verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_mod_module_port_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_lpm_trigger verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_const_real verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_event_basename verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_enumerate verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_packed_dimensions verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_enum_type verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_const_width verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_logic_delay verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_scope_events verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_nex verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_signal_dimensions verify-elf: WARNING: ./usr/lib64/ivl/vvp.tgt: undefined symbol: ivl_switch_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_parameter_expr verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_b verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_branch verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_event verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_process verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_packed_dimensions verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_string verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_clk verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_data_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_array_count verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_parm verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_sig verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_local verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_attr_val verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_events verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_oper1 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_sigs verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_signed verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_enum_bits verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_process_stmt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_branch verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_case_stmt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_delay_expr verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_enable verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_lexp verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_oper3 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_scope verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_statement_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_signal verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_opcode verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_prop_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_q verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_const_lineno verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_base verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_process_attr_val verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_udp_nin verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_const_bits verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_packed_msb verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_time_precision verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lval_idx verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_discipline_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_con verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_nany verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lval_property_idx verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_drive0 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_call verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_dvalue verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_condit verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_drive1 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_integer verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_nature verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_roots verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_param verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_udp_row verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptrs verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_const_file verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_parameter_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_attr_val verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_neg verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_cond_true verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_lineno verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_cond_expr verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_file verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_switch verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_npath verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lval_nest verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_case_expr verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_scope verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_opcode verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_prop_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_drive0 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_sized verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_array verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_scope verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_def verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_lpm verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_delay_val verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_lvals verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_packed_msb verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_def verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_udp_init verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_discipline verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_signed verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_nneg verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_properties verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_delay verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_enumtype verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_bits verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_parameter verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_const verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_net_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_source_posedge verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lval_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_string verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_udp verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_lwidth verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_value verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_repeat verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_nevent verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_a verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_process_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_island verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_pin verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_source_negedge verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_scope verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_pos verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_branch_terminal verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_define verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_sub_stmt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_attr_cnt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_is_cell verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_negedge verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_lpm verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_pin verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_udp_sequ verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_block_count verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_switches verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_size verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_scope verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_enable verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_signed verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_oper2 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_sig verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_source verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_udp_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_is_auto verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_lineno verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_lpms verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_npos verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_enumerates verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_offset verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_array_base verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_port verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_logs verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_discipline verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_flag verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_packed_lsb verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_enum_names verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_parm verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_data verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_pins verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_enum_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_drive1 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_async_set verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_element verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_cond_false verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_part verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_parms verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_classes verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_selects verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_async_clr verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_case_count verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_time_units verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_delay verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_drive0 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_switch verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_block_scope verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lval_part_off verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_rval verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_select verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_path_is_condit verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_children verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_block_stmt verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_lval verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_case_quality verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_any verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_drive1 verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_delay verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_class verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_const_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lpm_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_process_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_log verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_expr_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_tname verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_params verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_consts verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_packed_lsb verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_udp_rows verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_parm_count verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nature_name verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_lval_sig verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_path verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_stmt_file verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_nexus_ptr_log verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_type_base verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_attr_val verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_type verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_const_real verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_event_basename verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_process_analog verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_enumerate verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_packed_dimensions verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_const_width verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_design_disciplines verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_logic_delay verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_scope_events verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_nex verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_signal_dimensions verify-elf: WARNING: ./usr/lib64/ivl/stub.tgt: undefined symbol: ivl_switch_type verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: dlopen verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_get_vlog_info verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpip_format_strength verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_get_time verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_register_cb verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_get_userdata verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_handle_by_name verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_put_userdata verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_vprintf verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: dlerror verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: dlsym verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: pow verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/cadpli.vpl: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/vpi_debug.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_get_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_fopen verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpi_mcd_printf verify-elf: WARNING: ./usr/lib64/ivl/vhdl_textio.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_get_time verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_register_cb verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_get_file verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_get_userdata verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_put_userdata verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpi_mcd_printf verify-elf: WARNING: ./usr/lib64/ivl/vhdl_sys.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_get_file verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpi_mcd_printf verify-elf: WARNING: ./usr/lib64/ivl/v2009.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: pow verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: atan2 verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: hypot verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: sqrt verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: log verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: log10 verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: exp verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: ceil verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: floor verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: sin verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: cos verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: tan verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: asin verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: acos verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: atan verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: sinh verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: cosh verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: tanh verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: asinh verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: acosh verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: atanh verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_register_cb verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_get_userdata verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_put_userdata verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpip_calc_clog2 verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/v2005_math.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: fmax verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: fmin verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: fabs verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_register_cb verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_get_userdata verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_put_userdata verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_get verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/va_math.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_put_delays verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_mcd_flush verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_handle_by_index verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_register_systf verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_vlog_info verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_mcd_close verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_put_value verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_scan verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_control verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpip_make_systf_system_defined verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_userdata verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_file verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_register_cb verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_value verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_str verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpip_format_strength verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_remove_cb verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_free_object verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_put_userdata verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpip_mcd_rawwrite verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_delays verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_mcd_printf verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_fopen verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpip_set_return_value verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_printf verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_handle verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpip_count_drivers verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_handle_by_name verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_mcd_vprintf verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_iterate verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get_time verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_mcd_open verify-elf: WARNING: ./usr/lib64/ivl/system.vpi: undefined symbol: vpi_get Executing(%check): /bin/sh -e /usr/src/tmp/rpm-tmp.59884 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd iverilog-11.0 + make check make: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0' make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Nothing to be done for 'all'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make -C ivlpp check && make -C vhdlpp check && make -C vvp check && make -C vpi check && make -C libveriuser check && make -C cadpli check && make -C tgt-null check && make -C tgt-stub check && make -C tgt-vvp check && make -C tgt-vhdl check && make -C tgt-vlog95 check && make -C tgt-pcb check && make -C tgt-blif check && make -C tgt-sizer check && make -C driver check && true make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/ivlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vhdlpp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' ./vvp -M../vpi ./examples/hello.vvp | grep 'Hello, World.' Hello, World. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/vpi' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/libveriuser' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/cadpli' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-null' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-stub' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vvp' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vhdl' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-vlog95' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-pcb' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-blif' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/tgt-sizer' make[1]: Entering directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' make[1]: Nothing to be done for 'check'. make[1]: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0/driver' test -r check.conf || cp ./check.conf . driver/iverilog -B. -BMvpi -BPivlpp -tcheck -ocheck.vvp ./examples/hello.vl vvp/vvp -M- -M./vpi ./check.vvp | grep 'Hello, World' Hello, World make: Leaving directory '/usr/src/RPM/BUILD/iverilog-11.0' + exit 0 Processing files: iverilog-11.0-alt2 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.C65JUh find-provides: running scripts (debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.rlwkak find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Provides: verilog Requires: /bin/sh, /lib64/ld-linux-x86-64.so.2, libbz2.so.1()(64bit) >= set:igTre3goi, libc.so.6(GLIBC_2.14)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.3)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.4)(64bit), libc.so.6(GLIBC_2.7)(64bit), libdl.so.2(GLIBC_2.2.5)(64bit), libgcc_s.so.1(GCC_3.0)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libm.so.6(GLIBC_2.29)(64bit), libpthread.so.0(GLIBC_2.2.5)(64bit), libpthread.so.0(GLIBC_2.3.2)(64bit), libreadline.so.7()(64bit) >= set:nlIfCiwp4, libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(CXXABI_1.3.8)(64bit), libstdc++.so.6(CXXABI_1.3.9)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), libz.so.1()(64bit) >= set:kg0LtFJSdh22SiAPIfbmgg, libz.so.1(ZLIB_1.2.0)(64bit), rtld(GNU_HASH), rtld(GNU_UNIQUE) Requires(rpmlib): rpmlib(SetVersions) Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.AkbgHk Creating iverilog-debuginfo package Processing files: iverilog-debuginfo-11.0-alt2 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.zKXCBk find-provides: running scripts (debuginfo) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ubU8ki find-requires: running scripts (debuginfo) Requires: iverilog = 11.0-alt2, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libbz2.so.1), debug64(libc.so.6), debug64(libdl.so.2), debug64(libgcc_s.so.1), debug64(libm.so.6), debug64(libpthread.so.0), debug64(libreadline.so.7), debug64(libstdc++.so.6), debug64(libz.so.1) Adding to iverilog-debuginfo a strict dependency on iverilog Wrote: /usr/src/RPM/RPMS/x86_64/iverilog-11.0-alt2.x86_64.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/x86_64/iverilog-debuginfo-11.0-alt2.x86_64.rpm (w2T8.xzdio) 360.28user 34.20system 2:11.44elapsed 300%CPU (0avgtext+0avgdata 412232maxresident)k 0inputs+0outputs (0major+11558526minor)pagefaults 0swaps 3.97user 1.90system 2:21.23elapsed 4%CPU (0avgtext+0avgdata 108912maxresident)k 0inputs+0outputs (47547major+203059minor)pagefaults 0swaps --- iverilog-11.0-alt2.x86_64.rpm.repo 2021-04-29 09:35:41.000000000 +0000 +++ iverilog-11.0-alt2.x86_64.rpm.hasher 2022-09-25 02:14:18.909819384 +0000 @@ -118,5 +118,5 @@ Provides: iverilog = 11.0-alt2:sisyphus+270867.100.1.1 -File: /usr/bin/iverilog 100755 root:root 581d10debdec8805688ea6568329d908 +File: /usr/bin/iverilog 100755 root:root 18f79f8e7b19e14965e52b441636c6b4 File: /usr/bin/iverilog-vpi 100755 root:root 9f0925c1ca39a252d06e94b40685222c -File: /usr/bin/vvp 100755 root:root 268532d6b190c01c07f044b110a912e2 +File: /usr/bin/vvp 100755 root:root 4edac7033d9370a6a40365266e7d4134 File: /usr/include/iverilog 40755 root:root @@ -131,4 +131,4 @@ File: /usr/lib64/ivl/blif.conf 100644 root:root d6d0e9da91719339059c1e6c08a001f0 -File: /usr/lib64/ivl/blif.tgt 100644 root:root 9d407616b38242f1fc6b07ec01da01a6 -File: /usr/lib64/ivl/cadpli.vpl 100644 root:root 9f83d5b45b428031a8afab6fd6c00212 +File: /usr/lib64/ivl/blif.tgt 100644 root:root d3c8c0e88131d3aa7b1beb39f9bb1c76 +File: /usr/lib64/ivl/cadpli.vpl 100644 root:root d848e7c4605ea8047a5a2d7a317379d9 File: /usr/lib64/ivl/include 40755 root:root @@ -136,35 +136,35 @@ File: /usr/lib64/ivl/include/disciplines.vams 100644 root:root 5aa6962a8119ec6d12ef6724d70cbca6 -File: /usr/lib64/ivl/ivl 100755 root:root fa37601ebdeabc4c98ccab71172165c7 -File: /usr/lib64/ivl/ivlpp 100755 root:root 696808aac02537906d3de444449dd1fd +File: /usr/lib64/ivl/ivl 100755 root:root 10c716b711769c3f188501934e21cc12 +File: /usr/lib64/ivl/ivlpp 100755 root:root 22a90ff6a00835adbefa37845bf44624 File: /usr/lib64/ivl/null-s.conf 100644 root:root 5074336f47daca233fb4dae364a2c73b File: /usr/lib64/ivl/null.conf 100644 root:root c4cc9e8002a05bad6be37321265554a9 -File: /usr/lib64/ivl/null.tgt 100644 root:root a5f3c3d5df3e370b18504bc399debfda +File: /usr/lib64/ivl/null.tgt 100644 root:root 8f80850fc48cdf3ef1520772f8b8a457 File: /usr/lib64/ivl/pcb-s.conf 100644 root:root 3576899f64038b288f7d534caa70b336 File: /usr/lib64/ivl/pcb.conf 100644 root:root 89ee387b9f83880f597c04655dd1cba5 -File: /usr/lib64/ivl/pcb.tgt 100644 root:root ef018cbc40f32782bd919a0cf2d33b08 +File: /usr/lib64/ivl/pcb.tgt 100644 root:root d64752bde97e3de3323748c2889d9d8d File: /usr/lib64/ivl/sizer-s.conf 100644 root:root 98fb49427ca2bd3e8a8b3b1491834596 File: /usr/lib64/ivl/sizer.conf 100644 root:root 98fb49427ca2bd3e8a8b3b1491834596 -File: /usr/lib64/ivl/sizer.tgt 100644 root:root 5c1d8f785ded8ce87c064983066f6cb5 +File: /usr/lib64/ivl/sizer.tgt 100644 root:root d3bc87f5fa01734721dd41979c5577b1 File: /usr/lib64/ivl/stub-s.conf 100644 root:root 2fe62f456c27bd9b350e8055dfbb98b5 File: /usr/lib64/ivl/stub.conf 100644 root:root 0debcaaeb77a98d2edc868b55d78f6a7 -File: /usr/lib64/ivl/stub.tgt 100644 root:root 7f97287db37684a539945924dc982698 -File: /usr/lib64/ivl/system.vpi 100644 root:root 4ac018e1d054f6df7f506645ecef2b53 -File: /usr/lib64/ivl/v2005_math.vpi 100644 root:root 4cf9c9589b7c833cf22c31dc0849c069 -File: /usr/lib64/ivl/v2009.vpi 100644 root:root bf9fc83ebb5950ea674e7fcc8474fec1 -File: /usr/lib64/ivl/va_math.vpi 100644 root:root 061ecb98e39aea37537d5db865f7b68c +File: /usr/lib64/ivl/stub.tgt 100644 root:root 4f292481de64d0403c1ed06f288a85f2 +File: /usr/lib64/ivl/system.vpi 100644 root:root 302b359fd9a54e07eecff6fd07876be7 +File: /usr/lib64/ivl/v2005_math.vpi 100644 root:root 02395f33f6d2ce6edb6410df1bc1217d +File: /usr/lib64/ivl/v2009.vpi 100644 root:root 6d653b4fef8b4b89ebace03ea10d8a83 +File: /usr/lib64/ivl/va_math.vpi 100644 root:root 478d61bce47bf7726af061bcf7803ea4 File: /usr/lib64/ivl/vhdl-s.conf 100644 root:root fee9abf19a33a83c4e6cdd61431caf69 File: /usr/lib64/ivl/vhdl.conf 100644 root:root 7d8e2b63df544ed9c80f0e7b11f55481 -File: /usr/lib64/ivl/vhdl.tgt 100644 root:root 4f8fa7a1910ef17a731ed28842c0ad06 -File: /usr/lib64/ivl/vhdl_sys.vpi 100644 root:root 5e848dc329b7460842d5e378dce04456 -File: /usr/lib64/ivl/vhdl_textio.vpi 100644 root:root c840632d85cee25d3f90734091840a32 -File: /usr/lib64/ivl/vhdlpp 100755 root:root b7d3426138606f641d8ff7f71009b711 +File: /usr/lib64/ivl/vhdl.tgt 100644 root:root 0166d1855142cf6b9414e800e0439406 +File: /usr/lib64/ivl/vhdl_sys.vpi 100644 root:root f289a9f18ea89d33067b348ee8fb9161 +File: /usr/lib64/ivl/vhdl_textio.vpi 100644 root:root fd7f149bc431e1b434583ca951ff0879 +File: /usr/lib64/ivl/vhdlpp 100755 root:root 2679d4e581d132bfee85c7be3a604b64 File: /usr/lib64/ivl/vlog95-s.conf 100644 root:root 9e6d2746f71f0610e8ad2922dfd90956 File: /usr/lib64/ivl/vlog95.conf 100644 root:root 9804fe165d965c2981d9a67079d22989 -File: /usr/lib64/ivl/vlog95.tgt 100644 root:root 232811b25b6d23dda14e6857a7aa528d -File: /usr/lib64/ivl/vpi_debug.vpi 100644 root:root 03da3d6b9b562fb0d9150e4d52f10b0a +File: /usr/lib64/ivl/vlog95.tgt 100644 root:root 155499ca5fd0c49b8e4bc94852c696c5 +File: /usr/lib64/ivl/vpi_debug.vpi 100644 root:root 58c18149597fdcdd00dda0c954e5aeee File: /usr/lib64/ivl/vvp-s.conf 100644 root:root 5aef14bf1a1dd20fffb45ade7d83e146 File: /usr/lib64/ivl/vvp.conf 100644 root:root 9284c13b7d3a67b904f213f60ab5f8cc -File: /usr/lib64/ivl/vvp.tgt 100644 root:root 62164a11b1d3cc4bbe96c8c5fab5c31c -File: /usr/lib64/libveriuser.a 100644 root:root 08520f69ac01f08d4d26ad4f6e461279 -File: /usr/lib64/libvpi.a 100644 root:root ec6f298856c475b95d94f275de441fd5 +File: /usr/lib64/ivl/vvp.tgt 100644 root:root 446c739f720c1996b2bb4c9c1cb94727 +File: /usr/lib64/libveriuser.a 100644 root:root fd3768fe59bc1d231b9ada67275c578c +File: /usr/lib64/libvpi.a 100644 root:root 99c93adff0298f420203f0df1b36ab27 File: /usr/share/doc/iverilog-11.0 40755 root:root @@ -203,2 +203,2 @@ File: /usr/share/man/man1/vvp.1.xz 100644 root:root d9ae3a54764211a625adebd2608b6902 -RPMIdentity: 892ad1d1622093e517b3e53724c07ac3fb37f8cf7d2ec77217fc5187fa5c660e923fdb7ec31cb803a9538d27442e71688c0c5d67bfb16e09e0295a82ae75dc47 +RPMIdentity: d5fdfdf2fa8a78b99d2b04b0beab425cf59a86b61fea5127ee1bd9f197e56f120ceb4b8c1e59aa69d31160ccc85423463b64d0bd5ad5d4b54e237ba019f2fa70 --- iverilog-debuginfo-11.0-alt2.x86_64.rpm.repo 2021-04-29 09:35:41.000000000 +0000 +++ iverilog-debuginfo-11.0-alt2.x86_64.rpm.hasher 2022-09-25 02:14:19.002820335 +0000 @@ -1,63 +1,64 @@ /usr/lib/debug/.build-id/06 40755 root:root -/usr/lib/debug/.build-id/06/c3a18155a54c7e976748f4f98f6f1ad3970cb2 120777 root:root ../../../../lib64/ivl/v2005_math.vpi -/usr/lib/debug/.build-id/06/c3a18155a54c7e976748f4f98f6f1ad3970cb2.debug 120777 root:root ../../usr/lib64/ivl/v2005_math.vpi.debug -/usr/lib/debug/.build-id/17 40755 root:root -/usr/lib/debug/.build-id/17/0b29b4f9e19a0f18d07560ea71b65af164cd7b 120777 root:root ../../../../lib64/ivl/sizer.tgt -/usr/lib/debug/.build-id/17/0b29b4f9e19a0f18d07560ea71b65af164cd7b.debug 120777 root:root ../../usr/lib64/ivl/sizer.tgt.debug -/usr/lib/debug/.build-id/19 40755 root:root -/usr/lib/debug/.build-id/19/fbdcd46f50981f59a0840d6ada719d95c2f881 120777 root:root ../../../../lib64/ivl/vlog95.tgt -/usr/lib/debug/.build-id/19/fbdcd46f50981f59a0840d6ada719d95c2f881.debug 120777 root:root ../../usr/lib64/ivl/vlog95.tgt.debug -/usr/lib/debug/.build-id/33 40755 root:root -/usr/lib/debug/.build-id/33/735736ea1750bb911816e65e0155f7c0cbe59e 120777 root:root ../../../../lib64/ivl/ivlpp -/usr/lib/debug/.build-id/33/735736ea1750bb911816e65e0155f7c0cbe59e.debug 120777 root:root ../../usr/lib64/ivl/ivlpp.debug -/usr/lib/debug/.build-id/38 40755 root:root -/usr/lib/debug/.build-id/38/6d94d9a1807de526098e75b3b9d2cb8a8ea63e 120777 root:root ../../../../lib64/ivl/va_math.vpi -/usr/lib/debug/.build-id/38/6d94d9a1807de526098e75b3b9d2cb8a8ea63e.debug 120777 root:root ../../usr/lib64/ivl/va_math.vpi.debug -/usr/lib/debug/.build-id/3e 40755 root:root -/usr/lib/debug/.build-id/3e/715427f03d1059a28522b3ae7dd746fccf1563 120777 root:root ../../../../lib64/ivl/v2009.vpi -/usr/lib/debug/.build-id/3e/715427f03d1059a28522b3ae7dd746fccf1563.debug 120777 root:root ../../usr/lib64/ivl/v2009.vpi.debug -/usr/lib/debug/.build-id/4c 40755 root:root -/usr/lib/debug/.build-id/4c/33df3be01f4c4e349665802e9d590338e76b31 120777 root:root ../../../../lib64/ivl/stub.tgt -/usr/lib/debug/.build-id/4c/33df3be01f4c4e349665802e9d590338e76b31.debug 120777 root:root ../../usr/lib64/ivl/stub.tgt.debug -/usr/lib/debug/.build-id/70 40755 root:root -/usr/lib/debug/.build-id/70/4aad1abaffe3b6cc34482a2bda77ba619f8058 120777 root:root ../../../../lib64/ivl/cadpli.vpl -/usr/lib/debug/.build-id/70/4aad1abaffe3b6cc34482a2bda77ba619f8058.debug 120777 root:root ../../usr/lib64/ivl/cadpli.vpl.debug -/usr/lib/debug/.build-id/76 40755 root:root -/usr/lib/debug/.build-id/76/db82ceebd54991ff7e836b95ad6491b9253870 120777 root:root ../../../../lib64/ivl/vpi_debug.vpi -/usr/lib/debug/.build-id/76/db82ceebd54991ff7e836b95ad6491b9253870.debug 120777 root:root ../../usr/lib64/ivl/vpi_debug.vpi.debug -/usr/lib/debug/.build-id/7c 40755 root:root -/usr/lib/debug/.build-id/7c/cd58cda06a21788bd557cbc1d66c34488ae774 120777 root:root ../../../../lib64/ivl/vhdl_textio.vpi -/usr/lib/debug/.build-id/7c/cd58cda06a21788bd557cbc1d66c34488ae774.debug 120777 root:root ../../usr/lib64/ivl/vhdl_textio.vpi.debug -/usr/lib/debug/.build-id/99 40755 root:root -/usr/lib/debug/.build-id/99/c4d62736579d7266bc4d634b084db755256039 120777 root:root ../../../../bin/vvp -/usr/lib/debug/.build-id/99/c4d62736579d7266bc4d634b084db755256039.debug 120777 root:root ../../usr/bin/vvp.debug -/usr/lib/debug/.build-id/9b 40755 root:root -/usr/lib/debug/.build-id/9b/aeecfc9566b7d1d784cea977d9a9ab6427803c 120777 root:root ../../../../lib64/ivl/vhdl.tgt -/usr/lib/debug/.build-id/9b/aeecfc9566b7d1d784cea977d9a9ab6427803c.debug 120777 root:root ../../usr/lib64/ivl/vhdl.tgt.debug -/usr/lib/debug/.build-id/a4 40755 root:root -/usr/lib/debug/.build-id/a4/79bfc62361c4e8e741a4ec8453539c39806cbc 120777 root:root ../../../../lib64/ivl/blif.tgt -/usr/lib/debug/.build-id/a4/79bfc62361c4e8e741a4ec8453539c39806cbc.debug 120777 root:root ../../usr/lib64/ivl/blif.tgt.debug -/usr/lib/debug/.build-id/b2 40755 root:root -/usr/lib/debug/.build-id/b2/8ccb07304247b837803494e9ff3bdb2860d858 120777 root:root ../../../../lib64/ivl/vhdl_sys.vpi -/usr/lib/debug/.build-id/b2/8ccb07304247b837803494e9ff3bdb2860d858.debug 120777 root:root ../../usr/lib64/ivl/vhdl_sys.vpi.debug -/usr/lib/debug/.build-id/b2/d764def7d79b44d994e5562bcf924a9752698c 120777 root:root ../../../../lib64/ivl/system.vpi -/usr/lib/debug/.build-id/b2/d764def7d79b44d994e5562bcf924a9752698c.debug 120777 root:root ../../usr/lib64/ivl/system.vpi.debug -/usr/lib/debug/.build-id/ba 40755 root:root -/usr/lib/debug/.build-id/ba/1d1f571426ef7d2bfc476b92c4630caad1f003 120777 root:root ../../../../lib64/ivl/vhdlpp -/usr/lib/debug/.build-id/ba/1d1f571426ef7d2bfc476b92c4630caad1f003.debug 120777 root:root ../../usr/lib64/ivl/vhdlpp.debug -/usr/lib/debug/.build-id/bb 40755 root:root -/usr/lib/debug/.build-id/bb/9860dc92b1158ddb383abbf72d21547a6f889e 120777 root:root ../../../../bin/iverilog -/usr/lib/debug/.build-id/bb/9860dc92b1158ddb383abbf72d21547a6f889e.debug 120777 root:root ../../usr/bin/iverilog.debug -/usr/lib/debug/.build-id/cf 40755 root:root -/usr/lib/debug/.build-id/cf/2a48e87426d532da43980e9c3d15cd639e58e0 120777 root:root ../../../../lib64/ivl/vvp.tgt -/usr/lib/debug/.build-id/cf/2a48e87426d532da43980e9c3d15cd639e58e0.debug 120777 root:root ../../usr/lib64/ivl/vvp.tgt.debug -/usr/lib/debug/.build-id/d1 40755 root:root -/usr/lib/debug/.build-id/d1/e69e7e2c075444c4bbdbd75dadbb262ce32b09 120777 root:root ../../../../lib64/ivl/ivl -/usr/lib/debug/.build-id/d1/e69e7e2c075444c4bbdbd75dadbb262ce32b09.debug 120777 root:root ../../usr/lib64/ivl/ivl.debug -/usr/lib/debug/.build-id/e9 40755 root:root -/usr/lib/debug/.build-id/e9/2a52cda22dffc9345363ad9ab34dfd03e37827 120777 root:root ../../../../lib64/ivl/null.tgt -/usr/lib/debug/.build-id/e9/2a52cda22dffc9345363ad9ab34dfd03e37827.debug 120777 root:root ../../usr/lib64/ivl/null.tgt.debug -/usr/lib/debug/.build-id/f4 40755 root:root -/usr/lib/debug/.build-id/f4/5b029ff79fab0ef2c9df2706a52db8c735666d 120777 root:root ../../../../lib64/ivl/pcb.tgt -/usr/lib/debug/.build-id/f4/5b029ff79fab0ef2c9df2706a52db8c735666d.debug 120777 root:root ../../usr/lib64/ivl/pcb.tgt.debug +/usr/lib/debug/.build-id/06/87c38a7e2d06acc1a2a08109d2ffa61a12f6ab 120777 root:root ../../../../lib64/ivl/vhdlpp +/usr/lib/debug/.build-id/06/87c38a7e2d06acc1a2a08109d2ffa61a12f6ab.debug 120777 root:root ../../usr/lib64/ivl/vhdlpp.debug +/usr/lib/debug/.build-id/12 40755 root:root +/usr/lib/debug/.build-id/12/066563629d09981fad0a07b1d67b4dff4fb528 120777 root:root ../../../../lib64/ivl/ivlpp +/usr/lib/debug/.build-id/12/066563629d09981fad0a07b1d67b4dff4fb528.debug 120777 root:root ../../usr/lib64/ivl/ivlpp.debug +/usr/lib/debug/.build-id/25 40755 root:root +/usr/lib/debug/.build-id/25/17fa9953c62a39d5c3c3ab30727a04e1841a44 120777 root:root ../../../../bin/iverilog +/usr/lib/debug/.build-id/25/17fa9953c62a39d5c3c3ab30727a04e1841a44.debug 120777 root:root ../../usr/bin/iverilog.debug +/usr/lib/debug/.build-id/47 40755 root:root +/usr/lib/debug/.build-id/47/6b013adb277e698a9b322cb79184671283d3bc 120777 root:root ../../../../lib64/ivl/va_math.vpi +/usr/lib/debug/.build-id/47/6b013adb277e698a9b322cb79184671283d3bc.debug 120777 root:root ../../usr/lib64/ivl/va_math.vpi.debug +/usr/lib/debug/.build-id/4a 40755 root:root +/usr/lib/debug/.build-id/4a/bdf53d9f719ba37dad861096ea11066d4180a9 120777 root:root ../../../../lib64/ivl/ivl +/usr/lib/debug/.build-id/4a/bdf53d9f719ba37dad861096ea11066d4180a9.debug 120777 root:root ../../usr/lib64/ivl/ivl.debug +/usr/lib/debug/.build-id/66 40755 root:root +/usr/lib/debug/.build-id/66/800b0e460013172650ddbc5fc620bc1738a13a 120777 root:root ../../../../lib64/ivl/vvp.tgt +/usr/lib/debug/.build-id/66/800b0e460013172650ddbc5fc620bc1738a13a.debug 120777 root:root ../../usr/lib64/ivl/vvp.tgt.debug +/usr/lib/debug/.build-id/68 40755 root:root +/usr/lib/debug/.build-id/68/4e5f31b3e21d387ab1d5b42fdc8487c00a4ccc 120777 root:root ../../../../lib64/ivl/stub.tgt +/usr/lib/debug/.build-id/68/4e5f31b3e21d387ab1d5b42fdc8487c00a4ccc.debug 120777 root:root ../../usr/lib64/ivl/stub.tgt.debug +/usr/lib/debug/.build-id/6e 40755 root:root +/usr/lib/debug/.build-id/6e/179eba098d951c1fc4f277cad9e14bbdd48bfe 120777 root:root ../../../../lib64/ivl/system.vpi +/usr/lib/debug/.build-id/6e/179eba098d951c1fc4f277cad9e14bbdd48bfe.debug 120777 root:root ../../usr/lib64/ivl/system.vpi.debug +/usr/lib/debug/.build-id/73 40755 root:root +/usr/lib/debug/.build-id/73/3a66eb36bbe82b33c9e8903bb158a5aabba477 120777 root:root ../../../../lib64/ivl/sizer.tgt +/usr/lib/debug/.build-id/73/3a66eb36bbe82b33c9e8903bb158a5aabba477.debug 120777 root:root ../../usr/lib64/ivl/sizer.tgt.debug +/usr/lib/debug/.build-id/80 40755 root:root +/usr/lib/debug/.build-id/80/1cfaea3aecbecc419cd9b3271b3f4fecafc470 120777 root:root ../../../../lib64/ivl/vhdl.tgt +/usr/lib/debug/.build-id/80/1cfaea3aecbecc419cd9b3271b3f4fecafc470.debug 120777 root:root ../../usr/lib64/ivl/vhdl.tgt.debug +/usr/lib/debug/.build-id/8b 40755 root:root +/usr/lib/debug/.build-id/8b/fe8aad40e2f6cb6ce81d6948a2f639d0b980f3 120777 root:root ../../../../lib64/ivl/vhdl_textio.vpi +/usr/lib/debug/.build-id/8b/fe8aad40e2f6cb6ce81d6948a2f639d0b980f3.debug 120777 root:root ../../usr/lib64/ivl/vhdl_textio.vpi.debug +/usr/lib/debug/.build-id/8c 40755 root:root +/usr/lib/debug/.build-id/8c/31a37fadba4f991d29a862c8989453101b64dc 120777 root:root ../../../../bin/vvp +/usr/lib/debug/.build-id/8c/31a37fadba4f991d29a862c8989453101b64dc.debug 120777 root:root ../../usr/bin/vvp.debug +/usr/lib/debug/.build-id/9a 40755 root:root +/usr/lib/debug/.build-id/9a/f36eacc780480e7a9e84b0f57a239e52c6b709 120777 root:root ../../../../lib64/ivl/vlog95.tgt +/usr/lib/debug/.build-id/9a/f36eacc780480e7a9e84b0f57a239e52c6b709.debug 120777 root:root ../../usr/lib64/ivl/vlog95.tgt.debug +/usr/lib/debug/.build-id/a0 40755 root:root +/usr/lib/debug/.build-id/a0/ca65977b047e8a03521f79f9f0e415e2ca8bc7 120777 root:root ../../../../lib64/ivl/pcb.tgt +/usr/lib/debug/.build-id/a0/ca65977b047e8a03521f79f9f0e415e2ca8bc7.debug 120777 root:root ../../usr/lib64/ivl/pcb.tgt.debug +/usr/lib/debug/.build-id/c0 40755 root:root +/usr/lib/debug/.build-id/c0/66a0da7788d90b6ea743cbd96a844a5e06dd16 120777 root:root ../../../../lib64/ivl/null.tgt +/usr/lib/debug/.build-id/c0/66a0da7788d90b6ea743cbd96a844a5e06dd16.debug 120777 root:root ../../usr/lib64/ivl/null.tgt.debug +/usr/lib/debug/.build-id/c7 40755 root:root +/usr/lib/debug/.build-id/c7/76bdda582d47048012d3584ab8bd56be68a9a3 120777 root:root ../../../../lib64/ivl/v2009.vpi +/usr/lib/debug/.build-id/c7/76bdda582d47048012d3584ab8bd56be68a9a3.debug 120777 root:root ../../usr/lib64/ivl/v2009.vpi.debug +/usr/lib/debug/.build-id/d4 40755 root:root +/usr/lib/debug/.build-id/d4/cff5a783adaf2f500c24027e9f282511f9d825 120777 root:root ../../../../lib64/ivl/vpi_debug.vpi +/usr/lib/debug/.build-id/d4/cff5a783adaf2f500c24027e9f282511f9d825.debug 120777 root:root ../../usr/lib64/ivl/vpi_debug.vpi.debug +/usr/lib/debug/.build-id/e4 40755 root:root +/usr/lib/debug/.build-id/e4/a9a00a8f5799d290324cb559a25c80ae04c3de 120777 root:root ../../../../lib64/ivl/cadpli.vpl +/usr/lib/debug/.build-id/e4/a9a00a8f5799d290324cb559a25c80ae04c3de.debug 120777 root:root ../../usr/lib64/ivl/cadpli.vpl.debug +/usr/lib/debug/.build-id/e6 40755 root:root +/usr/lib/debug/.build-id/e6/225b042171a08ee8d9b1fa9072a64c60ccbb97 120777 root:root ../../../../lib64/ivl/v2005_math.vpi +/usr/lib/debug/.build-id/e6/225b042171a08ee8d9b1fa9072a64c60ccbb97.debug 120777 root:root ../../usr/lib64/ivl/v2005_math.vpi.debug +/usr/lib/debug/.build-id/f2 40755 root:root +/usr/lib/debug/.build-id/f2/d81d9d8cc4c1845e70a7ed70917fd2a0b86afd 120777 root:root ../../../../lib64/ivl/vhdl_sys.vpi +/usr/lib/debug/.build-id/f2/d81d9d8cc4c1845e70a7ed70917fd2a0b86afd.debug 120777 root:root ../../usr/lib64/ivl/vhdl_sys.vpi.debug +/usr/lib/debug/.build-id/f7 40755 root:root +/usr/lib/debug/.build-id/f7/057977f5acc5bf12ee99cd3fa3a049b19bed5f 120777 root:root ../../../../lib64/ivl/blif.tgt +/usr/lib/debug/.build-id/f7/057977f5acc5bf12ee99cd3fa3a049b19bed5f.debug 120777 root:root ../../usr/lib64/ivl/blif.tgt.debug /usr/lib/debug/usr/bin/iverilog.debug 100644 root:root @@ -668,85 +669,86 @@ File: /usr/lib/debug/.build-id/06 40755 root:root -File: /usr/lib/debug/.build-id/06/c3a18155a54c7e976748f4f98f6f1ad3970cb2 120777 root:root ../../../../lib64/ivl/v2005_math.vpi -File: /usr/lib/debug/.build-id/06/c3a18155a54c7e976748f4f98f6f1ad3970cb2.debug 120777 root:root ../../usr/lib64/ivl/v2005_math.vpi.debug -File: /usr/lib/debug/.build-id/17 40755 root:root -File: /usr/lib/debug/.build-id/17/0b29b4f9e19a0f18d07560ea71b65af164cd7b 120777 root:root ../../../../lib64/ivl/sizer.tgt -File: /usr/lib/debug/.build-id/17/0b29b4f9e19a0f18d07560ea71b65af164cd7b.debug 120777 root:root ../../usr/lib64/ivl/sizer.tgt.debug -File: /usr/lib/debug/.build-id/19 40755 root:root -File: /usr/lib/debug/.build-id/19/fbdcd46f50981f59a0840d6ada719d95c2f881 120777 root:root ../../../../lib64/ivl/vlog95.tgt -File: /usr/lib/debug/.build-id/19/fbdcd46f50981f59a0840d6ada719d95c2f881.debug 120777 root:root ../../usr/lib64/ivl/vlog95.tgt.debug -File: /usr/lib/debug/.build-id/33 40755 root:root -File: /usr/lib/debug/.build-id/33/735736ea1750bb911816e65e0155f7c0cbe59e 120777 root:root ../../../../lib64/ivl/ivlpp -File: /usr/lib/debug/.build-id/33/735736ea1750bb911816e65e0155f7c0cbe59e.debug 120777 root:root ../../usr/lib64/ivl/ivlpp.debug -File: /usr/lib/debug/.build-id/38 40755 root:root -File: /usr/lib/debug/.build-id/38/6d94d9a1807de526098e75b3b9d2cb8a8ea63e 120777 root:root ../../../../lib64/ivl/va_math.vpi -File: /usr/lib/debug/.build-id/38/6d94d9a1807de526098e75b3b9d2cb8a8ea63e.debug 120777 root:root ../../usr/lib64/ivl/va_math.vpi.debug -File: /usr/lib/debug/.build-id/3e 40755 root:root -File: /usr/lib/debug/.build-id/3e/715427f03d1059a28522b3ae7dd746fccf1563 120777 root:root ../../../../lib64/ivl/v2009.vpi -File: /usr/lib/debug/.build-id/3e/715427f03d1059a28522b3ae7dd746fccf1563.debug 120777 root:root ../../usr/lib64/ivl/v2009.vpi.debug -File: /usr/lib/debug/.build-id/4c 40755 root:root -File: /usr/lib/debug/.build-id/4c/33df3be01f4c4e349665802e9d590338e76b31 120777 root:root ../../../../lib64/ivl/stub.tgt -File: /usr/lib/debug/.build-id/4c/33df3be01f4c4e349665802e9d590338e76b31.debug 120777 root:root ../../usr/lib64/ivl/stub.tgt.debug -File: /usr/lib/debug/.build-id/70 40755 root:root -File: /usr/lib/debug/.build-id/70/4aad1abaffe3b6cc34482a2bda77ba619f8058 120777 root:root ../../../../lib64/ivl/cadpli.vpl -File: /usr/lib/debug/.build-id/70/4aad1abaffe3b6cc34482a2bda77ba619f8058.debug 120777 root:root ../../usr/lib64/ivl/cadpli.vpl.debug -File: /usr/lib/debug/.build-id/76 40755 root:root -File: /usr/lib/debug/.build-id/76/db82ceebd54991ff7e836b95ad6491b9253870 120777 root:root ../../../../lib64/ivl/vpi_debug.vpi -File: /usr/lib/debug/.build-id/76/db82ceebd54991ff7e836b95ad6491b9253870.debug 120777 root:root ../../usr/lib64/ivl/vpi_debug.vpi.debug -File: /usr/lib/debug/.build-id/7c 40755 root:root -File: /usr/lib/debug/.build-id/7c/cd58cda06a21788bd557cbc1d66c34488ae774 120777 root:root ../../../../lib64/ivl/vhdl_textio.vpi -File: /usr/lib/debug/.build-id/7c/cd58cda06a21788bd557cbc1d66c34488ae774.debug 120777 root:root ../../usr/lib64/ivl/vhdl_textio.vpi.debug -File: /usr/lib/debug/.build-id/99 40755 root:root -File: /usr/lib/debug/.build-id/99/c4d62736579d7266bc4d634b084db755256039 120777 root:root ../../../../bin/vvp -File: /usr/lib/debug/.build-id/99/c4d62736579d7266bc4d634b084db755256039.debug 120777 root:root ../../usr/bin/vvp.debug -File: /usr/lib/debug/.build-id/9b 40755 root:root -File: /usr/lib/debug/.build-id/9b/aeecfc9566b7d1d784cea977d9a9ab6427803c 120777 root:root ../../../../lib64/ivl/vhdl.tgt -File: /usr/lib/debug/.build-id/9b/aeecfc9566b7d1d784cea977d9a9ab6427803c.debug 120777 root:root ../../usr/lib64/ivl/vhdl.tgt.debug -File: /usr/lib/debug/.build-id/a4 40755 root:root -File: /usr/lib/debug/.build-id/a4/79bfc62361c4e8e741a4ec8453539c39806cbc 120777 root:root ../../../../lib64/ivl/blif.tgt -File: /usr/lib/debug/.build-id/a4/79bfc62361c4e8e741a4ec8453539c39806cbc.debug 120777 root:root ../../usr/lib64/ivl/blif.tgt.debug -File: /usr/lib/debug/.build-id/b2 40755 root:root -File: /usr/lib/debug/.build-id/b2/8ccb07304247b837803494e9ff3bdb2860d858 120777 root:root ../../../../lib64/ivl/vhdl_sys.vpi -File: /usr/lib/debug/.build-id/b2/8ccb07304247b837803494e9ff3bdb2860d858.debug 120777 root:root ../../usr/lib64/ivl/vhdl_sys.vpi.debug -File: /usr/lib/debug/.build-id/b2/d764def7d79b44d994e5562bcf924a9752698c 120777 root:root ../../../../lib64/ivl/system.vpi -File: /usr/lib/debug/.build-id/b2/d764def7d79b44d994e5562bcf924a9752698c.debug 120777 root:root ../../usr/lib64/ivl/system.vpi.debug -File: /usr/lib/debug/.build-id/ba 40755 root:root -File: /usr/lib/debug/.build-id/ba/1d1f571426ef7d2bfc476b92c4630caad1f003 120777 root:root ../../../../lib64/ivl/vhdlpp -File: /usr/lib/debug/.build-id/ba/1d1f571426ef7d2bfc476b92c4630caad1f003.debug 120777 root:root ../../usr/lib64/ivl/vhdlpp.debug -File: /usr/lib/debug/.build-id/bb 40755 root:root -File: /usr/lib/debug/.build-id/bb/9860dc92b1158ddb383abbf72d21547a6f889e 120777 root:root ../../../../bin/iverilog -File: /usr/lib/debug/.build-id/bb/9860dc92b1158ddb383abbf72d21547a6f889e.debug 120777 root:root ../../usr/bin/iverilog.debug -File: /usr/lib/debug/.build-id/cf 40755 root:root -File: /usr/lib/debug/.build-id/cf/2a48e87426d532da43980e9c3d15cd639e58e0 120777 root:root ../../../../lib64/ivl/vvp.tgt -File: /usr/lib/debug/.build-id/cf/2a48e87426d532da43980e9c3d15cd639e58e0.debug 120777 root:root ../../usr/lib64/ivl/vvp.tgt.debug -File: /usr/lib/debug/.build-id/d1 40755 root:root -File: /usr/lib/debug/.build-id/d1/e69e7e2c075444c4bbdbd75dadbb262ce32b09 120777 root:root ../../../../lib64/ivl/ivl -File: /usr/lib/debug/.build-id/d1/e69e7e2c075444c4bbdbd75dadbb262ce32b09.debug 120777 root:root ../../usr/lib64/ivl/ivl.debug -File: /usr/lib/debug/.build-id/e9 40755 root:root -File: /usr/lib/debug/.build-id/e9/2a52cda22dffc9345363ad9ab34dfd03e37827 120777 root:root ../../../../lib64/ivl/null.tgt -File: /usr/lib/debug/.build-id/e9/2a52cda22dffc9345363ad9ab34dfd03e37827.debug 120777 root:root ../../usr/lib64/ivl/null.tgt.debug -File: /usr/lib/debug/.build-id/f4 40755 root:root -File: /usr/lib/debug/.build-id/f4/5b029ff79fab0ef2c9df2706a52db8c735666d 120777 root:root ../../../../lib64/ivl/pcb.tgt -File: /usr/lib/debug/.build-id/f4/5b029ff79fab0ef2c9df2706a52db8c735666d.debug 120777 root:root ../../usr/lib64/ivl/pcb.tgt.debug -File: /usr/lib/debug/usr/bin/iverilog.debug 100644 root:root 6ed6aae59030657468d4c3d557f80841 -File: /usr/lib/debug/usr/bin/vvp.debug 100644 root:root 9d3171cb2c2469e091239697d85bcd0d +File: /usr/lib/debug/.build-id/06/87c38a7e2d06acc1a2a08109d2ffa61a12f6ab 120777 root:root ../../../../lib64/ivl/vhdlpp +File: /usr/lib/debug/.build-id/06/87c38a7e2d06acc1a2a08109d2ffa61a12f6ab.debug 120777 root:root ../../usr/lib64/ivl/vhdlpp.debug +File: /usr/lib/debug/.build-id/12 40755 root:root +File: /usr/lib/debug/.build-id/12/066563629d09981fad0a07b1d67b4dff4fb528 120777 root:root ../../../../lib64/ivl/ivlpp +File: /usr/lib/debug/.build-id/12/066563629d09981fad0a07b1d67b4dff4fb528.debug 120777 root:root ../../usr/lib64/ivl/ivlpp.debug +File: /usr/lib/debug/.build-id/25 40755 root:root +File: /usr/lib/debug/.build-id/25/17fa9953c62a39d5c3c3ab30727a04e1841a44 120777 root:root ../../../../bin/iverilog +File: /usr/lib/debug/.build-id/25/17fa9953c62a39d5c3c3ab30727a04e1841a44.debug 120777 root:root ../../usr/bin/iverilog.debug +File: /usr/lib/debug/.build-id/47 40755 root:root +File: /usr/lib/debug/.build-id/47/6b013adb277e698a9b322cb79184671283d3bc 120777 root:root ../../../../lib64/ivl/va_math.vpi +File: /usr/lib/debug/.build-id/47/6b013adb277e698a9b322cb79184671283d3bc.debug 120777 root:root ../../usr/lib64/ivl/va_math.vpi.debug +File: /usr/lib/debug/.build-id/4a 40755 root:root +File: /usr/lib/debug/.build-id/4a/bdf53d9f719ba37dad861096ea11066d4180a9 120777 root:root ../../../../lib64/ivl/ivl +File: /usr/lib/debug/.build-id/4a/bdf53d9f719ba37dad861096ea11066d4180a9.debug 120777 root:root ../../usr/lib64/ivl/ivl.debug +File: /usr/lib/debug/.build-id/66 40755 root:root +File: /usr/lib/debug/.build-id/66/800b0e460013172650ddbc5fc620bc1738a13a 120777 root:root ../../../../lib64/ivl/vvp.tgt +File: /usr/lib/debug/.build-id/66/800b0e460013172650ddbc5fc620bc1738a13a.debug 120777 root:root ../../usr/lib64/ivl/vvp.tgt.debug +File: /usr/lib/debug/.build-id/68 40755 root:root +File: /usr/lib/debug/.build-id/68/4e5f31b3e21d387ab1d5b42fdc8487c00a4ccc 120777 root:root ../../../../lib64/ivl/stub.tgt +File: /usr/lib/debug/.build-id/68/4e5f31b3e21d387ab1d5b42fdc8487c00a4ccc.debug 120777 root:root ../../usr/lib64/ivl/stub.tgt.debug +File: /usr/lib/debug/.build-id/6e 40755 root:root +File: /usr/lib/debug/.build-id/6e/179eba098d951c1fc4f277cad9e14bbdd48bfe 120777 root:root ../../../../lib64/ivl/system.vpi +File: /usr/lib/debug/.build-id/6e/179eba098d951c1fc4f277cad9e14bbdd48bfe.debug 120777 root:root ../../usr/lib64/ivl/system.vpi.debug +File: /usr/lib/debug/.build-id/73 40755 root:root +File: /usr/lib/debug/.build-id/73/3a66eb36bbe82b33c9e8903bb158a5aabba477 120777 root:root ../../../../lib64/ivl/sizer.tgt +File: /usr/lib/debug/.build-id/73/3a66eb36bbe82b33c9e8903bb158a5aabba477.debug 120777 root:root ../../usr/lib64/ivl/sizer.tgt.debug +File: /usr/lib/debug/.build-id/80 40755 root:root +File: /usr/lib/debug/.build-id/80/1cfaea3aecbecc419cd9b3271b3f4fecafc470 120777 root:root ../../../../lib64/ivl/vhdl.tgt +File: /usr/lib/debug/.build-id/80/1cfaea3aecbecc419cd9b3271b3f4fecafc470.debug 120777 root:root ../../usr/lib64/ivl/vhdl.tgt.debug +File: /usr/lib/debug/.build-id/8b 40755 root:root +File: /usr/lib/debug/.build-id/8b/fe8aad40e2f6cb6ce81d6948a2f639d0b980f3 120777 root:root ../../../../lib64/ivl/vhdl_textio.vpi +File: /usr/lib/debug/.build-id/8b/fe8aad40e2f6cb6ce81d6948a2f639d0b980f3.debug 120777 root:root ../../usr/lib64/ivl/vhdl_textio.vpi.debug +File: /usr/lib/debug/.build-id/8c 40755 root:root +File: /usr/lib/debug/.build-id/8c/31a37fadba4f991d29a862c8989453101b64dc 120777 root:root ../../../../bin/vvp +File: /usr/lib/debug/.build-id/8c/31a37fadba4f991d29a862c8989453101b64dc.debug 120777 root:root ../../usr/bin/vvp.debug +File: /usr/lib/debug/.build-id/9a 40755 root:root +File: /usr/lib/debug/.build-id/9a/f36eacc780480e7a9e84b0f57a239e52c6b709 120777 root:root ../../../../lib64/ivl/vlog95.tgt +File: /usr/lib/debug/.build-id/9a/f36eacc780480e7a9e84b0f57a239e52c6b709.debug 120777 root:root ../../usr/lib64/ivl/vlog95.tgt.debug +File: /usr/lib/debug/.build-id/a0 40755 root:root +File: /usr/lib/debug/.build-id/a0/ca65977b047e8a03521f79f9f0e415e2ca8bc7 120777 root:root ../../../../lib64/ivl/pcb.tgt +File: /usr/lib/debug/.build-id/a0/ca65977b047e8a03521f79f9f0e415e2ca8bc7.debug 120777 root:root ../../usr/lib64/ivl/pcb.tgt.debug +File: /usr/lib/debug/.build-id/c0 40755 root:root +File: /usr/lib/debug/.build-id/c0/66a0da7788d90b6ea743cbd96a844a5e06dd16 120777 root:root ../../../../lib64/ivl/null.tgt +File: /usr/lib/debug/.build-id/c0/66a0da7788d90b6ea743cbd96a844a5e06dd16.debug 120777 root:root ../../usr/lib64/ivl/null.tgt.debug +File: /usr/lib/debug/.build-id/c7 40755 root:root +File: /usr/lib/debug/.build-id/c7/76bdda582d47048012d3584ab8bd56be68a9a3 120777 root:root ../../../../lib64/ivl/v2009.vpi +File: /usr/lib/debug/.build-id/c7/76bdda582d47048012d3584ab8bd56be68a9a3.debug 120777 root:root ../../usr/lib64/ivl/v2009.vpi.debug +File: /usr/lib/debug/.build-id/d4 40755 root:root +File: /usr/lib/debug/.build-id/d4/cff5a783adaf2f500c24027e9f282511f9d825 120777 root:root ../../../../lib64/ivl/vpi_debug.vpi +File: /usr/lib/debug/.build-id/d4/cff5a783adaf2f500c24027e9f282511f9d825.debug 120777 root:root ../../usr/lib64/ivl/vpi_debug.vpi.debug +File: /usr/lib/debug/.build-id/e4 40755 root:root +File: /usr/lib/debug/.build-id/e4/a9a00a8f5799d290324cb559a25c80ae04c3de 120777 root:root ../../../../lib64/ivl/cadpli.vpl +File: /usr/lib/debug/.build-id/e4/a9a00a8f5799d290324cb559a25c80ae04c3de.debug 120777 root:root ../../usr/lib64/ivl/cadpli.vpl.debug +File: /usr/lib/debug/.build-id/e6 40755 root:root +File: /usr/lib/debug/.build-id/e6/225b042171a08ee8d9b1fa9072a64c60ccbb97 120777 root:root ../../../../lib64/ivl/v2005_math.vpi +File: /usr/lib/debug/.build-id/e6/225b042171a08ee8d9b1fa9072a64c60ccbb97.debug 120777 root:root ../../usr/lib64/ivl/v2005_math.vpi.debug +File: /usr/lib/debug/.build-id/f2 40755 root:root +File: /usr/lib/debug/.build-id/f2/d81d9d8cc4c1845e70a7ed70917fd2a0b86afd 120777 root:root ../../../../lib64/ivl/vhdl_sys.vpi +File: /usr/lib/debug/.build-id/f2/d81d9d8cc4c1845e70a7ed70917fd2a0b86afd.debug 120777 root:root ../../usr/lib64/ivl/vhdl_sys.vpi.debug +File: /usr/lib/debug/.build-id/f7 40755 root:root +File: /usr/lib/debug/.build-id/f7/057977f5acc5bf12ee99cd3fa3a049b19bed5f 120777 root:root ../../../../lib64/ivl/blif.tgt +File: /usr/lib/debug/.build-id/f7/057977f5acc5bf12ee99cd3fa3a049b19bed5f.debug 120777 root:root ../../usr/lib64/ivl/blif.tgt.debug +File: /usr/lib/debug/usr/bin/iverilog.debug 100644 root:root 9f5c5718c103a956d0fd45a7da6d306b +File: /usr/lib/debug/usr/bin/vvp.debug 100644 root:root c6e67140e179af513c0b74ee0cd7aa7e File: /usr/lib/debug/usr/lib64/ivl 40755 root:root -File: /usr/lib/debug/usr/lib64/ivl/blif.tgt.debug 100644 root:root 8568378f5d5c58c2a4c8ef26893bc58b -File: /usr/lib/debug/usr/lib64/ivl/cadpli.vpl.debug 100644 root:root ab65a1da60e0c30f81fe9d473d30ddda -File: /usr/lib/debug/usr/lib64/ivl/ivl.debug 100644 root:root 27aa190e6b0259cc67758e3345696f07 -File: /usr/lib/debug/usr/lib64/ivl/ivlpp.debug 100644 root:root 2a69ebec5b2882aedc25d6dfae5ca60a -File: /usr/lib/debug/usr/lib64/ivl/null.tgt.debug 100644 root:root 2213fe488a34d4b1356a2eb1f5c39075 -File: /usr/lib/debug/usr/lib64/ivl/pcb.tgt.debug 100644 root:root 3ce51f99dca79fea840bba3cc32e0815 -File: /usr/lib/debug/usr/lib64/ivl/sizer.tgt.debug 100644 root:root 9c40e1cbed5eb0b876cf2732995cc3db -File: /usr/lib/debug/usr/lib64/ivl/stub.tgt.debug 100644 root:root 809ce4e06b4e50636a5c488b4729b10a -File: /usr/lib/debug/usr/lib64/ivl/system.vpi.debug 100644 root:root 0041d2f774e48f99e079eb0e2f43299a -File: /usr/lib/debug/usr/lib64/ivl/v2005_math.vpi.debug 100644 root:root 79e2f5625db86139b39c04c9c8f7d214 -File: /usr/lib/debug/usr/lib64/ivl/v2009.vpi.debug 100644 root:root 908d53f9393a11669c6d855a18f0a003 -File: /usr/lib/debug/usr/lib64/ivl/va_math.vpi.debug 100644 root:root d56004641bba1e30fac6b35b5fd21676 -File: /usr/lib/debug/usr/lib64/ivl/vhdl.tgt.debug 100644 root:root 0dcca0b95d80b21d491324367545a1be -File: /usr/lib/debug/usr/lib64/ivl/vhdl_sys.vpi.debug 100644 root:root c72f2b0414c2e5a7dead648bac1dcf38 -File: /usr/lib/debug/usr/lib64/ivl/vhdl_textio.vpi.debug 100644 root:root 4ea7e3e49e8d13fd12baca0912b3b798 -File: /usr/lib/debug/usr/lib64/ivl/vhdlpp.debug 100644 root:root 8cc40d495ed91b8f04ff3289f586f762 -File: /usr/lib/debug/usr/lib64/ivl/vlog95.tgt.debug 100644 root:root 539cf1f35d5cb01e7f8f71673982d732 -File: /usr/lib/debug/usr/lib64/ivl/vpi_debug.vpi.debug 100644 root:root 0992acb0694e53860245f0461484aedd -File: /usr/lib/debug/usr/lib64/ivl/vvp.tgt.debug 100644 root:root f9ffad70b4a418774d0b745c03849fcd +File: /usr/lib/debug/usr/lib64/ivl/blif.tgt.debug 100644 root:root 7cdddb9188f9f8b6a41d69eebeb96aff +File: /usr/lib/debug/usr/lib64/ivl/cadpli.vpl.debug 100644 root:root 8b14f59acd2291178a6114f8e1c6e80d +File: /usr/lib/debug/usr/lib64/ivl/ivl.debug 100644 root:root d7a36bf53aa0e40909e497651669006a +File: /usr/lib/debug/usr/lib64/ivl/ivlpp.debug 100644 root:root 364d4317febf376e3f2468b3a7f43b21 +File: /usr/lib/debug/usr/lib64/ivl/null.tgt.debug 100644 root:root e8f5633b74a629ca9aa015a6d72c644c +File: /usr/lib/debug/usr/lib64/ivl/pcb.tgt.debug 100644 root:root ade1f4d22e83cb030880a5ded94c4620 +File: /usr/lib/debug/usr/lib64/ivl/sizer.tgt.debug 100644 root:root 6c39469d88f4c27fa5045eccfa8e8e6f +File: /usr/lib/debug/usr/lib64/ivl/stub.tgt.debug 100644 root:root 81d6bf394fbd16b865a3bf972abd04a6 +File: /usr/lib/debug/usr/lib64/ivl/system.vpi.debug 100644 root:root abb3d101ee92c82fc5f34df699f8c224 +File: /usr/lib/debug/usr/lib64/ivl/v2005_math.vpi.debug 100644 root:root e71f9e3d04ca1a51eb70076f3f07978d +File: /usr/lib/debug/usr/lib64/ivl/v2009.vpi.debug 100644 root:root a0f57efc42cd4184f7a94c7e5fe6f4e8 +File: /usr/lib/debug/usr/lib64/ivl/va_math.vpi.debug 100644 root:root 446bd8c1c467d0ecbe5bbd6dd5e99937 +File: /usr/lib/debug/usr/lib64/ivl/vhdl.tgt.debug 100644 root:root 238eeae9fc23c35174301ad3f8fa0875 +File: /usr/lib/debug/usr/lib64/ivl/vhdl_sys.vpi.debug 100644 root:root 32af7ae989bc2107d901bafbb62910cc +File: /usr/lib/debug/usr/lib64/ivl/vhdl_textio.vpi.debug 100644 root:root 3032ef43e1e67a20e8cd233b79a8286a +File: /usr/lib/debug/usr/lib64/ivl/vhdlpp.debug 100644 root:root f380986f6dd92144a0669e2424ba3d01 +File: /usr/lib/debug/usr/lib64/ivl/vlog95.tgt.debug 100644 root:root 539185c59109181e3c1c1b2ce1f5aa36 +File: /usr/lib/debug/usr/lib64/ivl/vpi_debug.vpi.debug 100644 root:root d76e23e9cf476127d53f5daf8f59aa41 +File: /usr/lib/debug/usr/lib64/ivl/vvp.tgt.debug 100644 root:root 2127d6209d92a8f15a4be06ff2f1396c File: /usr/src/debug/iverilog-11.0 40755 root:root @@ -1321,2 +1323,2 @@ File: /usr/src/debug/iverilog-11.0/vvp/words.cc 100644 root:root a51428085259044438eed1bef2e853f8 -RPMIdentity: 034ce77ab3a089ac6ec285ebcd32be3877038371f4e6c7bc5f2bb59e694fc24357d163022ffc77e51ca6e6f7fc94d3bc09f9fe97cf0758ec93a62f8135885dde +RPMIdentity: 38b8cf97b0c588881f9cf96b13a6da7e2d104922612e000e9b763e27cc1db7e3daf99ed853cd7972f9d6f32f285ff754c3cab526b4e501687877b4913bed2908