<86>Aug 7 00:54:15 userdel[52433]: delete user 'rooter' <86>Aug 7 00:54:15 userdel[52433]: removed shadow group 'rooter' owned by 'rooter' <86>Aug 7 00:54:15 groupadd[52506]: group added to /etc/group: name=rooter, GID=972 <86>Aug 7 00:54:15 groupadd[52506]: group added to /etc/gshadow: name=rooter <86>Aug 7 00:54:15 groupadd[52506]: new group: name=rooter, GID=972 <86>Aug 7 00:54:15 useradd[52550]: new user: name=rooter, UID=972, GID=972, home=/root, shell=/bin/bash <86>Aug 7 00:54:15 userdel[52654]: delete user 'builder' <86>Aug 7 00:54:15 userdel[52654]: removed group 'builder' owned by 'builder' <86>Aug 7 00:54:15 userdel[52654]: removed shadow group 'builder' owned by 'builder' <86>Aug 7 00:54:15 groupadd[52717]: group added to /etc/group: name=builder, GID=973 <86>Aug 7 00:54:15 groupadd[52717]: group added to /etc/gshadow: name=builder <86>Aug 7 00:54:15 groupadd[52717]: new group: name=builder, GID=973 <86>Aug 7 00:54:15 useradd[52775]: new user: name=builder, UID=973, GID=973, home=/usr/src, shell=/bin/bash /usr/src/in/srpm/verilator-4.210-alt1.src.rpm: The use of such a license name is ambiguous: Artistic /usr/src/in/srpm/verilator-4.210-alt1.src.rpm: license not found in '/usr/share/license' directory: 2.0 <13>Aug 7 00:54:27 rpmi: libpng16-1.6.37-alt1 sisyphus+275734.100.2.1 1624788826 installed <13>Aug 7 00:54:27 rpmi: libexpat-2.4.3-alt1 p10+293695.100.1.1 1642509078 installed <13>Aug 7 00:54:27 rpmi: libjpeg-2:2.0.6-alt3 sisyphus+276287.100.3.1 1625270396 installed <13>Aug 7 00:54:27 rpmi: perl-HTTP-Date-6.05-alt1 sisyphus+258981.100.1.1 1601542386 installed <13>Aug 7 00:54:27 rpmi: perl-Term-ANSIColor-5.01-alt1 sisyphus+244783.100.1.2 1579747505 installed <13>Aug 7 00:54:27 rpmi: less-530-alt1 sisyphus+278268.100.1.1 1626082709 installed <13>Aug 7 00:54:27 rpmi: libidn2-2.3.2-alt1 p10+281239.100.3.1 1627673251 installed <13>Aug 7 00:54:27 rpmi: tex-common-0.2-alt4 sisyphus+276869.100.1.1 1625246366 installed <13>Aug 7 00:54:27 rpmi: perl-Tie-RefHash-1.40-alt1 sisyphus+260329.100.1.1 1603548550 installed <13>Aug 7 00:54:27 rpmi: perl-IO-stringy-2.111-alt1 1432302202 installed <13>Aug 7 00:54:27 rpmi: perl-Unicode-Normalize-1:5.34.0-alt1 sisyphus+279621.700.1.1 1626629811 installed <13>Aug 7 00:54:27 rpmi: perl-IO-Socket-IP-0.41-alt1 sisyphus+259012.100.1.2 1601553446 installed <13>Aug 7 00:54:27 rpmi: perl-LWP-MediaTypes-6.04-alt1 sisyphus+225468.100.1.1 1553186684 installed <13>Aug 7 00:54:27 rpmi: perl-libnet-1:3.13-alt1 sisyphus+266120.100.1.1 1612961310 installed <13>Aug 7 00:54:27 rpmi: perl-HTML-Tagset-3.20-alt2 1317725093 installed <13>Aug 7 00:54:27 rpmi: perl-Compress-Raw-Zlib-2.101-alt1 sisyphus+279723.300.1.1 1626648904 installed <13>Aug 7 00:54:27 rpmi: libtcl-8.6.11-alt1 sisyphus+277487.100.2.1 1625624065 installed <13>Aug 7 00:54:27 rpmi: libgdbm-1.8.3-alt10 sisyphus+278100.1600.1.1 1626058413 installed <13>Aug 7 00:54:27 rpmi: libpixman-3:0.40.0-alt1 sisyphus+278367.100.1.1 1626092347 installed <13>Aug 7 00:54:27 rpmi: libglvnd-7:1.4.0-alt1 p10+286049.60.8.1 1640699806 installed <13>Aug 7 00:54:27 rpmi: libwayland-server-1.20.0-alt1 p10+286499.200.7.1 1641898396 installed <13>Aug 7 00:54:27 rpmi: libICE-1.0.10-alt1 sisyphus+278827.100.1.1 1626220279 installed <13>Aug 7 00:54:27 rpmi: libwebp7-1.2.1-alt1 p10+284327.1400.7.1 1632405367 installed <13>Aug 7 00:54:27 rpmi: libpaper-1.1.28-alt1 sisyphus+278439.100.1.1 1626100422 installed <13>Aug 7 00:54:27 rpmi: libnettle8-3.7.3-alt1 sisyphus+276723.100.1.2 1625211788 installed <13>Aug 7 00:54:27 rpmi: libbrotlicommon-1.0.9-alt2 sisyphus+278430.100.1.2 1626213212 installed <13>Aug 7 00:54:27 rpmi: libbrotlidec-1.0.9-alt2 sisyphus+278430.100.1.2 1626213212 installed <13>Aug 7 00:54:27 rpmi: libbrotlienc-1.0.9-alt2 sisyphus+278430.100.1.2 1626213212 installed <13>Aug 7 00:54:27 rpmi: libgraphite2-1.3.14-alt2.1 sisyphus+279571.100.1.2 1626605111 installed <13>Aug 7 00:54:27 rpmi: libharfbuzz-2.8.1-alt1 sisyphus+279641.100.1.1 1626639572 installed <13>Aug 7 00:54:27 rpmi: libfreetype-2.11.0-alt1 p10+281745.100.1.1 1628002271 installed <13>Aug 7 00:54:27 rpmi: fontconfig-2.13.1-alt1 p10+297936.100.2.1 1649662055 installed Updating fonts cache: <29>Aug 7 00:54:28 fontconfig: Updating fonts cache: succeeded [ DONE ] <13>Aug 7 00:54:28 rpmi: libp11-kit-0.23.15-alt2 sisyphus+278382.100.1.2 1626190666 installed <13>Aug 7 00:54:28 rpmi: libtasn1-4.17.0-alt1 sisyphus+276722.100.1.1 1625211284 installed <13>Aug 7 00:54:29 rpmi: fonts-type1-urw-3:1.0.7pre44-alt3 sisyphus+224082.100.2.1 1552406640 installed <13>Aug 7 00:54:29 rpmi: libwoff2-1.0.2-alt2.1 sisyphus+277277.100.1.2 1625464643 installed <13>Aug 7 00:54:29 rpmi: libhogweed6-3.7.3-alt1 sisyphus+276723.100.1.2 1625211788 installed <13>Aug 7 00:54:29 rpmi: libgnutls30-3.6.16-alt2 p10+304672.100.1.1 1659465119 installed <13>Aug 7 00:54:29 rpmi: libSM-1.2.3-alt1 sisyphus+278820.100.1.1 1626219264 installed <13>Aug 7 00:54:29 rpmi: tcl-8.6.11-alt1 sisyphus+277487.100.2.1 1625624065 installed <13>Aug 7 00:54:29 rpmi: perl-unicore-1:5.34.0-alt1 sisyphus+279621.700.1.1 1626629811 installed <13>Aug 7 00:54:29 rpmi: perl-Net-IDN-Encode-2.500-alt1 sisyphus+279723.3100.1.1 1626649600 installed <13>Aug 7 00:54:29 rpmi: perl-OLE-Storage_Lite-0.20-alt1 sisyphus+243912.100.1.1 1578493389 installed <13>Aug 7 00:54:29 rpmi: perl-autodie-2.34-alt1 sisyphus+265208.100.1.1 1611567479 installed <13>Aug 7 00:54:29 rpmi: perl-Data-Dump-1.25-alt1 sisyphus+276551.100.1.1 1625126880 installed <13>Aug 7 00:54:29 rpmi: perl-File-Listing-6.14-alt1 sisyphus+262735.100.1.1 1606813544 installed <13>Aug 7 00:54:29 rpmi: groff-base-1.22.3-alt2 sisyphus+275306.100.2.1 1624538375 installed <13>Aug 7 00:54:29 rpmi: zziplib-0.13.72-alt1 sisyphus+278032.100.1.2 1625982008 installed <13>Aug 7 00:54:29 rpmi: t1utils-1.42-alt1 sisyphus+278458.100.1.1 1626102085 installed <13>Aug 7 00:54:29 rpmi: ttf2pt1-3.4.4-alt1.qa1 sisyphus+275192.100.2.1 1624905844 installed <13>Aug 7 00:54:29 rpmi: python-sphinx-objects.inv-1:2.3.11.20210701-alt1 sisyphus+277295.100.1.4 1625467657 installed <13>Aug 7 00:54:29 rpmi: perl-X11-Protocol-0.56-alt1.1 1290621401 installed <13>Aug 7 00:54:29 rpmi: perl-TimeDate-2.33-alt1 sisyphus+252901.100.1.1 1591387378 installed <13>Aug 7 00:54:29 rpmi: perl-Text-Unidecode-1.30-alt1 1480505027 installed <13>Aug 7 00:54:29 rpmi: perl-Unicode-Map-0.112-alt8 sisyphus+279723.3600.1.1 1626649989 installed <13>Aug 7 00:54:29 rpmi: perl-Math-Complex-1.59-alt1 1334229876 installed <13>Aug 7 00:54:29 rpmi: perl-Locale-Maketext-Simple-0.21-alt3 1321333616 installed <13>Aug 7 00:54:29 rpmi: perl-IPC-System-Simple-1.30-alt1 sisyphus+248544.100.1.1 1585154078 installed <13>Aug 7 00:54:29 rpmi: perl-IPC-Run3-0.048-alt1 1410690027 installed <13>Aug 7 00:54:29 rpmi: psutils-2:2.06-alt1 sisyphus+277681.100.1.1 1625747630 installed <13>Aug 7 00:54:29 rpmi: perl-Try-Tiny-0.30-alt1 1514318058 installed <13>Aug 7 00:54:29 rpmi: perl-File-Which-1.27-alt1 sisyphus+271986.100.1.1 1621196035 installed <13>Aug 7 00:54:29 rpmi: perl-File-Copy-Recursive-0.45-alt1 sisyphus+235291.100.1.1 1564606222 installed <13>Aug 7 00:54:29 rpmi: perl-Digest-SHA1-2.13-alt5.2 sisyphus+279723.3400.1.1 1626649913 installed <13>Aug 7 00:54:29 rpmi: perl-Digest-Perl-MD5-1.9-alt1 1394057208 installed <13>Aug 7 00:54:29 rpmi: perl-Crypt-RC4-2.02-alt1 1319549646 installed <13>Aug 7 00:54:29 rpmi: perl-Term-Cap-1.17-alt1 1445018869 installed <13>Aug 7 00:54:29 rpmi: perl-Pod-Escapes-1.07-alt1 1418767892 installed <13>Aug 7 00:54:29 rpmi: perl-IO-String-1.08-alt2 1321677915 installed <13>Aug 7 00:54:29 rpmi: perl-Filter-1.60-alt1 sisyphus+279723.100.1.1 1626648797 installed <13>Aug 7 00:54:29 rpmi: perl-Encode-3.10-alt1 sisyphus+279723.200.1.1 1626648866 installed <13>Aug 7 00:54:29 rpmi: perl-URI-5.09-alt1 sisyphus+267398.100.1.1 1614944505 installed <13>Aug 7 00:54:29 rpmi: perl-HTML-Parser-3.76-alt1 sisyphus+279723.500.1.1 1626648959 installed <13>Aug 7 00:54:29 rpmi: perl-WWW-RobotRules-6.02-alt1 1329756211 installed <13>Aug 7 00:54:29 rpmi: perl-Pod-Simple-3.43-alt1 sisyphus+276561.100.1.1 1625127752 installed <13>Aug 7 00:54:29 rpmi: perl-Pod-Usage-2.01-alt1 sisyphus+277175.100.1.1 1625408247 installed <13>Aug 7 00:54:29 rpmi: perl-podlators-4.14-alt1 sisyphus+277178.100.1.2 1625426264 installed <13>Aug 7 00:54:29 rpmi: perl-Encode-Locale-1.05-alt1 1444608613 installed <13>Aug 7 00:54:29 rpmi: perl-IO-HTML-1.004-alt1 sisyphus+258983.100.1.1 1601542619 installed <13>Aug 7 00:54:29 rpmi: perl-Text-CSV_XS-1.46-alt1 sisyphus+279723.3500.1.1 1626649958 installed <13>Aug 7 00:54:29 rpmi: perl-Spreadsheet-ParseExcel-1:0.65-alt1 1395677487 installed <13>Aug 7 00:54:29 rpmi: perl-Compress-Raw-Bzip2-2.101-alt1 sisyphus+279723.400.1.1 1626648931 installed <13>Aug 7 00:54:29 rpmi: perl-IO-Compress-2.102-alt1 sisyphus+278038.1500.1.2 1625983088 installed <13>Aug 7 00:54:29 rpmi: perl-HTTP-Message-6.33-alt1 sisyphus+276556.100.1.1 1625127547 installed <13>Aug 7 00:54:29 rpmi: perl-HTTP-Cookies-6.10-alt1 sisyphus+264349.100.1.1 1609891183 installed <13>Aug 7 00:54:29 rpmi: perl-Net-HTTP-6.21-alt1 sisyphus+268278.100.1.1 1616563972 installed <13>Aug 7 00:54:29 rpmi: perl-HTML-Form-6.07-alt1 sisyphus+246847.100.1.1 1582555741 installed <13>Aug 7 00:54:29 rpmi: perl-HTTP-Negotiate-6.01-alt1 1329760563 installed <13>Aug 7 00:54:29 rpmi: perl-libwww-6.55-alt1 sisyphus+278038.4100.1.2 1625984005 installed <13>Aug 7 00:54:29 rpmi: perl-HTML-Formatter-2.16-alt1 1482105372 installed <13>Aug 7 00:54:29 rpmi: perl-HTML-Tree-5.07-alt1 sisyphus+277105.100.1.1 1625402682 installed <13>Aug 7 00:54:29 rpmi: perl-XML-Parser-2.46-alt1 sisyphus+279723.1300.1.1 1626649131 installed <13>Aug 7 00:54:29 rpmi: perl-XML-Twig-3.52-alt1 sisyphus+277116.100.1.2 1625410693 installed <13>Aug 7 00:54:29 rpmi: perl-XML-XPath-1.44-alt1 sisyphus.215541.100 1540451758 installed <13>Aug 7 00:54:29 rpmi: perl-WWW-Mechanize-2.03-alt1 sisyphus+277177.100.1.2 1625426034 installed <13>Aug 7 00:54:29 rpmi: perl-IO-Zlib-1.11-alt1 sisyphus+258984.100.1.1 1601542681 installed <13>Aug 7 00:54:29 rpmi: perl-Archive-Tar-2.38-alt1 sisyphus+277157.100.1.1 1625406890 installed <13>Aug 7 00:54:29 rpmi: perl-Algorithm-Diff-1:1.201-alt1 sisyphus+263447.100.1.1 1607956595 installed <13>Aug 7 00:54:29 rpmi: lua5.3-5.3.5-alt3 sisyphus+278158.1000.1.1 1626050401 installed <13>Aug 7 00:54:29 rpmi: libxxhash-0.8.0-alt2 sisyphus+277476.100.2.1 1625621312 installed <13>Aug 7 00:54:29 rpmi: libxslt-1.1.34-alt3 sisyphus+275636.100.1.1 1624616833 installed <13>Aug 7 00:54:29 rpmi: libtexlua5-2021-alt1_2 p10+283520.100.2.1 1629888723 installed <13>Aug 7 00:54:29 rpmi: libteckit-2.5.1-alt2.1 sisyphus+275250.100.2.1 1624906328 installed <13>Aug 7 00:54:29 rpmi: libteckit-utils-2.5.1-alt2.1 sisyphus+275250.100.2.1 1624906328 installed <13>Aug 7 00:54:29 rpmi: libsynctex2-2021-alt1_2 p10+283520.100.2.1 1629888723 installed <13>Aug 7 00:54:29 rpmi: libqqwing-1.3.4-alt2 sisyphus+275255.100.2.1 1624562960 installed <13>Aug 7 00:54:29 rpmi: qqwing-1.3.4-alt2 sisyphus+275255.100.2.1 1624562960 installed <13>Aug 7 00:54:29 rpmi: libpotrace-1.16-alt1 sisyphus+275746.100.1.1 1624752901 installed <13>Aug 7 00:54:29 rpmi: libpipeline-1.5.3-alt1_1 sisyphus+278011.100.1.2 1625975462 installed <13>Aug 7 00:54:29 rpmi: man-db-2.9.0-alt3 sisyphus+278019.100.1.2 1625976989 installed <13>Aug 7 00:54:29 rpmi: libkpathsea6-2021-alt1_2 p10+283520.100.2.1 1629888723 installed <13>Aug 7 00:54:29 rpmi: libptexenc1-2021-alt1_2 p10+283520.100.2.1 1629888723 installed <13>Aug 7 00:54:30 rpmi: libicu69-1:6.9.1-alt2 sisyphus+277632.100.1.1 1625726150 installed <13>Aug 7 00:54:30 rpmi: libnuma-2.0.14-alt2 sisyphus+278485.100.1.1 1626104244 installed <13>Aug 7 00:54:30 rpmi: libx265-199-3.5-alt1.1 sisyphus+277560.100.1.1 1625697230 installed <13>Aug 7 00:54:30 rpmi: shared-mime-info-2.1-alt1 sisyphus+278982.100.1.1 1626350317 installed <13>Aug 7 00:54:30 rpmi: libgdk-pixbuf-locales-2.42.6-alt1 sisyphus+277964.100.1.1 1625927113 installed <13>Aug 7 00:54:30 rpmi: libde265-1.0.7-alt1 sisyphus+275350.100.1.2 1624489754 installed <13>Aug 7 00:54:31 rpmi: libaom3-3.1.1-alt1 sisyphus+279697.100.2.1 1626678061 installed <13>Aug 7 00:54:31 rpmi: libxshmfence-1.3-alt1 sisyphus+278248.100.1.1 1626080762 installed <13>Aug 7 00:54:31 rpmi: libwayland-client-1.20.0-alt1 p10+286499.200.7.1 1641898396 installed <13>Aug 7 00:54:31 rpmi: libpciaccess-1:0.16-alt1 sisyphus+278288.100.1.1 1626084210 installed <13>Aug 7 00:54:31 rpmi: libdrm-1:2.4.109-alt1 p10+299510.100.1.1 1651783910 installed <13>Aug 7 00:54:31 rpmi: libgbm-4:22.0.4-alt1 p10+300546.100.1.1 1653387138 installed <13>Aug 7 00:54:31 rpmi: hd2u-1.0.3-alt2 sisyphus+275312.100.2.1 1624906676 installed <13>Aug 7 00:54:31 rpmi: gsettings-desktop-schemas-data-40.0-alt1 sisyphus+268501.300.4.2 1617041783 installed <13>Aug 7 00:54:31 rpmi: libgio-2.68.4-alt4 p10+296885.100.1.1 1647705736 installed <13>Aug 7 00:54:31 rpmi: gsettings-desktop-schemas-40.0-alt1 sisyphus+268501.300.4.2 1617041783 installed <13>Aug 7 00:54:31 rpmi: publicsuffix-list-dafsa-20220703-alt1 p10+303338.100.1.1 1657290596 installed <13>Aug 7 00:54:31 rpmi: libpsl-0.21.1-alt2 sisyphus+279461.100.1.1 1626547547 installed <13>Aug 7 00:54:31 rpmi: libnghttp2-1.41.0-alt1 sisyphus+275115.100.1.1 1624405462 installed <13>Aug 7 00:54:31 rpmi: openldap-common-2.4.59-alt1 p10+282974.100.1.1 1629142498 installed <13>Aug 7 00:54:31 rpmi: rsync-3.1.3-alt2 sisyphus+278100.6000.1.1 1626060142 installed <13>Aug 7 00:54:31 rpmi: libverto-0.3.2-alt1_1 sisyphus+279289.100.1.3 1626493868 installed <13>Aug 7 00:54:31 rpmi: liblmdb-0.9.23-alt1 sisyphus+275369.100.1.2 1624493869 installed <13>Aug 7 00:54:31 rpmi: libkeyutils-1.6.3-alt1 sisyphus+266061.100.1.1 1612919566 installed <13>Aug 7 00:54:31 rpmi: libcom_err-1.46.2.0.16.f114-alt1 sisyphus+278100.1000.1.1 1626056805 installed <13>Aug 7 00:54:31 rpmi: libedit3-3.1.20191231-alt1 sisyphus+278505.100.1.1 1626106374 installed <13>Aug 7 00:54:31 rpmi: libX11-locales-3:1.7.2-alt1 sisyphus+279629.100.1.1 1626637383 installed <13>Aug 7 00:54:31 rpmi: libXdmcp-1.1.3-alt1 sisyphus+278816.100.1.1 1626219231 installed <13>Aug 7 00:54:31 rpmi: libXau-1.0.9-alt1 sisyphus+278247.100.1.1 1626080736 installed <13>Aug 7 00:54:31 rpmi: libxcb-1.14-alt1 sisyphus+277968.100.1.3 1625952322 installed <13>Aug 7 00:54:31 rpmi: libX11-3:1.7.2-alt1 sisyphus+279629.100.1.1 1626637383 installed <13>Aug 7 00:54:31 rpmi: libXext-1.3.4-alt1 sisyphus+278817.100.1.3 1626262596 installed <13>Aug 7 00:54:31 rpmi: libXt-1.2.1-alt1 sisyphus+265202.200.1.1 1611565457 installed <13>Aug 7 00:54:31 rpmi: libXmu-1.1.3-alt1 sisyphus+278818.100.1.3 1626263295 installed <13>Aug 7 00:54:31 rpmi: libXpm-3.5.13-alt1 sisyphus+278339.100.1.2 1626171698 installed <13>Aug 7 00:54:31 rpmi: libXrender-0.9.10-alt1 sisyphus+278480.100.1.2 1626227740 installed <13>Aug 7 00:54:31 rpmi: libXft-2.3.3-alt1 sisyphus+278258.100.1.2 1626135708 installed <13>Aug 7 00:54:31 rpmi: libtk-8.6.11.1-alt1 sisyphus+279337.100.1.2 1626510916 installed <13>Aug 7 00:54:31 rpmi: tk-8.6.11.1-alt1 sisyphus+279337.100.1.2 1626510916 installed <13>Aug 7 00:54:31 rpmi: perl-Tk-804.036-alt1 sisyphus+279723.3300.1.1 1626649879 installed <13>Aug 7 00:54:31 rpmi: libXaw-1.0.12-alt1 sisyphus+276069.100.1.1 1624815410 installed <13>Aug 7 00:54:31 rpmi: xset-1.2.4-alt1 sisyphus+275497.100.2.2 1624918317 installed <13>Aug 7 00:54:31 rpmi: libXxf86vm-1.1.4-alt2 sisyphus+275334.100.2.2 1624577011 installed <13>Aug 7 00:54:31 rpmi: libXfixes-5.0.3-alt1 sisyphus+275326.100.2.2 1624573638 installed <13>Aug 7 00:54:31 rpmi: libGLX-mesa-4:22.0.4-alt1 p10+300546.100.1.1 1653387138 installed <13>Aug 7 00:54:31 rpmi: libEGL-mesa-4:22.0.4-alt1 p10+300546.100.1.1 1653387138 installed <13>Aug 7 00:54:31 rpmi: libEGL-7:1.4.0-alt1 p10+286049.60.8.1 1640699806 installed <13>Aug 7 00:54:31 rpmi: libGLX-7:1.4.0-alt1 p10+286049.60.8.1 1640699806 installed <13>Aug 7 00:54:31 rpmi: libGL-7:1.4.0-alt1 p10+286049.60.8.1 1640699806 installed <13>Aug 7 00:54:31 rpmi: libcairo-1:1.16.0-alt1 sisyphus+275343.100.2.3 1624578007 installed <13>Aug 7 00:54:31 rpmi: xprop-1.2.5-alt1 sisyphus+279025.100.1.1 1626354198 installed <13>Aug 7 00:54:31 rpmi: libjbig-2.1-alt1 sisyphus+276068.100.1.1 1624815400 installed <13>Aug 7 00:54:31 rpmi: libtiff5-4.2.0-alt1 sisyphus+279102.100.1.1 1626378264 installed <13>Aug 7 00:54:31 rpmi: libgdk-pixbuf-2.42.6-alt1 sisyphus+277964.100.1.1 1625927113 installed <13>Aug 7 00:54:31 rpmi: libheif-1.11.0-alt1 sisyphus+279399.100.1.2 1626532543 installed <13>Aug 7 00:54:31 rpmi: libgd3-2.3.2-alt1 sisyphus+279240.100.1.1 1626460055 installed <13>Aug 7 00:54:31 rpmi: libopenjpeg2.0-2.5.0-alt1 p10+300002.100.4.1 1652888730 installed <13>Aug 7 00:54:31 rpmi: liblz4-1:1.9.3-alt1 sisyphus+278100.4000.1.1 1626059441 installed <13>Aug 7 00:54:31 rpmi: libgpg-error-1.42-alt1 sisyphus+275524.40.1.1 1624455807 installed <13>Aug 7 00:54:31 rpmi: libgcrypt20-1.9.3-alt1 sisyphus+275524.100.1.1 1624455938 installed <13>Aug 7 00:54:31 rpmi: libsystemd-1:249.12-alt2 p10+299845.100.1.1 1652366725 installed <13>Aug 7 00:54:31 rpmi: libdbus-1.12.18-alt1 sisyphus+275285.100.2.2 1624573321 installed <13>Aug 7 00:54:31 rpmi: libavahi-0.8-alt2 sisyphus+279391.100.1.2 1626530679 installed <13>Aug 7 00:54:31 rpmi: libcups-2.3.3-alt7.op2 p10+289600.100.2.1 1637910448 installed <13>Aug 7 00:54:32 rpmi: libgs-9.54.0-alt3 p10+285079.100.2.1 1631777706 installed <13>Aug 7 00:54:32 rpmi: ghostscript-common-9.54.0-alt3 p10+285079.100.2.1 1631777706 installed <13>Aug 7 00:54:32 rpmi: ghostscript-classic-9.54.0-alt3 p10+285079.100.2.1 1631777706 installed <13>Aug 7 00:54:32 rpmi: ghostscript-module-X-9.54.0-alt3 p10+285079.100.2.1 1631777706 installed <13>Aug 7 00:54:32 rpmi: perl-Net-DBus-1.2.0-alt1 sisyphus+279723.2700.1.1 1626649518 installed <13>Aug 7 00:54:33 rpmi: xdg-utils-1.1.3-alt12 p10+303147.200.3.1 1657632990 installed <13>Aug 7 00:54:33 rpmi: gcc-c++-common-1.4.27-alt1 sisyphus+278099.1300.1.1 1626028636 installed <13>Aug 7 00:54:33 rpmi: libstdc++10-devel-10.3.1-alt2 sisyphus+277353.100.2.1 1625525890 installed <13>Aug 7 00:54:34 rpmi: gcc10-c++-10.3.1-alt2 sisyphus+277353.100.2.1 1625525890 installed <13>Aug 7 00:54:34 rpmi: ed-1:0.2-alt10 sisyphus+278100.1100.1.1 1626056843 installed <13>Aug 7 00:54:34 rpmi: diffstat-1.64-alt1 sisyphus+278100.700.1.1 1626056683 installed <13>Aug 7 00:54:34 rpmi: libdialog-1.3.20171209-alt1 sisyphus+275055.100.1.1 1624395459 installed <13>Aug 7 00:54:34 rpmi: dialog-1.3.20171209-alt1 sisyphus+275055.100.1.1 1624395459 installed <13>Aug 7 00:54:34 rpmi: rpm-macros-alternatives-0.5.1-alt1 sisyphus+278157.100.2.1 1626055790 installed <13>Aug 7 00:54:34 rpmi: alternatives-0.5.1-alt1 sisyphus+278157.100.2.1 1626055790 installed <13>Aug 7 00:54:34 rpmi: ca-certificates-2021.10.06-alt1 p10+286784.100.1.1 1633940301 installed <13>Aug 7 00:54:34 rpmi: ca-trust-0.1.2-alt1 sisyphus+233348.100.1.1 1561653823 installed <13>Aug 7 00:54:34 rpmi: p11-kit-trust-0.23.15-alt2 sisyphus+278382.100.1.2 1626190666 installed <13>Aug 7 00:54:34 rpmi: libcrypto1.1-1.1.1q-alt1 p10+303207.100.1.1 1657030549 installed <13>Aug 7 00:54:34 rpmi: libssl1.1-1.1.1q-alt1 p10+303207.100.1.1 1657030549 installed <13>Aug 7 00:54:34 rpmi: python3-3.9.6-alt1 sisyphus+276295.100.1.1 1624964289 installed <13>Aug 7 00:54:34 rpmi: python3-base-3.9.6-alt1 sisyphus+276295.100.1.1 1624964289 installed <13>Aug 7 00:54:34 rpmi: libpython3-3.9.6-alt1 sisyphus+276295.100.1.1 1624964289 installed <13>Aug 7 00:54:35 rpmi: python3-module-six-1.15.0-alt2 sisyphus+270533.5100.6.1 1619685463 installed <86>Aug 7 00:54:35 groupadd[85603]: group added to /etc/group: name=_keytab, GID=499 <86>Aug 7 00:54:35 groupadd[85603]: group added to /etc/gshadow: name=_keytab <86>Aug 7 00:54:35 groupadd[85603]: new group: name=_keytab, GID=499 <13>Aug 7 00:54:35 rpmi: libkrb5-1.19.3-alt1 p10+296676.100.2.1 1647367800 installed <86>Aug 7 00:54:35 groupadd[85685]: group added to /etc/group: name=sasl, GID=498 <86>Aug 7 00:54:35 groupadd[85685]: group added to /etc/gshadow: name=sasl <86>Aug 7 00:54:35 groupadd[85685]: new group: name=sasl, GID=498 <13>Aug 7 00:54:35 rpmi: libsasl2-3-2.1.27-alt2.1 sisyphus+278407.100.1.3 1626205817 installed <13>Aug 7 00:54:35 rpmi: libldap-2.4.59-alt1 p10+282974.100.1.1 1629142498 installed <13>Aug 7 00:54:35 rpmi: libcurl-7.83.1-alt1 p10+299735.200.3.1 1652862689 installed <13>Aug 7 00:54:35 rpmi: python3-module-genshi-0.7.4-alt2 sisyphus+275930.100.2.1 1624873830 installed <13>Aug 7 00:54:35 rpmi: python3-module-webencodings-0.5.1-alt2 sisyphus+276020.100.1.1 1624812421 installed <13>Aug 7 00:54:35 rpmi: python3-module-cssselect-0.9.1-alt3 sisyphus+270533.2600.6.1 1619684675 installed <13>Aug 7 00:54:35 rpmi: python3-module-html5lib-1:1.1-alt1 sisyphus+278096.120.5.1 1626086978 installed <13>Aug 7 00:54:35 rpmi: python3-module-lxml-4.6.3.0.16.git5ecb40bc-alt1 sisyphus+279721.1500.1.1 1626648574 installed <13>Aug 7 00:54:35 rpmi: python3-module-docutils-0.17.1-alt1 sisyphus+271898.100.1.1 1621041818 installed <13>Aug 7 00:54:35 rpmi: python3-module-chardet-1:3.0.4-alt2 sisyphus+275804.100.1.1 1624794263 installed <13>Aug 7 00:54:35 rpmi: python3-module-idna-3.2-alt1 sisyphus+274748.100.1.1 1624021080 installed <13>Aug 7 00:54:35 rpmi: python3-module-pycparser-2.20-alt2 sisyphus+278697.200.1.1 1626167452 installed <13>Aug 7 00:54:35 rpmi: python3-module-cffi-1.14.5-alt2 sisyphus+279395.100.1.2 1626532846 installed <13>Aug 7 00:54:35 rpmi: python3-module-cryptography-3.4.7-alt1 sisyphus+270667.100.1.1 1619307682 installed <13>Aug 7 00:54:35 rpmi: python3-module-openssl-20.0.1-alt1 sisyphus+267607.100.2.1 1615475362 installed <13>Aug 7 00:54:35 rpmi: python3-module-ndg-0.4.2-alt1.qa1 sisyphus+275837.100.1.2 1624808487 installed <13>Aug 7 00:54:35 rpmi: python3-module-ndg-httpsclient-0.4.2-alt1.qa1 sisyphus+275837.100.1.2 1624808487 installed <13>Aug 7 00:54:35 rpmi: python3-module-ntlm-1.1.0-alt1.2 sisyphus+275841.100.1.1 1624797814 installed <13>Aug 7 00:54:35 rpmi: python3-module-urllib3-2:1.26.6-alt1 sisyphus+276579.100.1.1 1625137436 installed <13>Aug 7 00:54:35 rpmi: python3-module-requests-2.25.1-alt2 sisyphus+267359.100.1.1 1614881487 installed <13>Aug 7 00:54:35 rpmi: python3-module-pytz-1:2021.1-alt1 sisyphus+267903.400.1.1 1615896636 installed <13>Aug 7 00:54:36 rpmi: python3-module-babel-1:2.9.0-alt1 sisyphus+268784.200.2.1 1617263310 installed <13>Aug 7 00:54:36 rpmi: python3-module-imagesize-1.1.0-alt1 sisyphus+271943.200.1.1 1621159990 installed <13>Aug 7 00:54:36 rpmi: python3-module-markupsafe-1:2.0.1-alt2 sisyphus+279531.100.1.1 1626556142 installed <13>Aug 7 00:54:36 rpmi: python3-module-jinja2-3.0.1-alt1 sisyphus+274841.1100.1.1 1624295237 installed <13>Aug 7 00:54:36 rpmi: python3-module-pyparsing-2.4.7-alt1 sisyphus+277413.100.1.1 1625536142 installed <13>Aug 7 00:54:36 rpmi: python3-module-packaging-20.9-alt1 sisyphus+270533.300.6.1 1619683401 installed <13>Aug 7 00:54:36 rpmi: python3-module-pkg_resources-1:57.4.0-alt1 p10+281549.200.4.1 1628026198 installed <13>Aug 7 00:54:36 rpmi: python3-module-snowballstemmer-1.2.0-alt2.1 sisyphus+271943.400.1.1 1621160075 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinxcontrib-applehelp-1.0.2-alt1 sisyphus+276002.100.2.2 1624878023 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinxcontrib-devhelp-1.0.2-alt1 sisyphus+276003.100.2.2 1624879024 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinxcontrib-htmlhelp-2.0.0-alt1 sisyphus+272876.100.1.1 1622446944 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinxcontrib-jsmath-1.0.1-alt1 sisyphus+276004.100.1.1 1624811634 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinxcontrib-qthelp-1.0.3-alt1 sisyphus+276005.100.2.2 1624879764 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinxcontrib-serializinghtml-1.1.5-alt1 sisyphus+272874.100.1.1 1622445988 installed <13>Aug 7 00:54:36 rpmi: python3-module-alabaster-0.7.6-alt3 sisyphus+271943.300.1.1 1621160028 installed <13>Aug 7 00:54:36 rpmi: python3-module-Pygments-2.8.1-alt2 sisyphus+270791.100.1.1 1619525864 installed <13>Aug 7 00:54:36 rpmi: python3-module-sphinx-1:4.0.2-alt1 sisyphus+272353.100.1.1 1621699337 installed <13>Aug 7 00:54:36 rpmi: tests-for-installed-python3-pkgs-0.1.17-alt1 sisyphus+271082.100.1.1 1619820927 installed <13>Aug 7 00:54:36 rpmi: perl-Net-SSLeay-1.90-alt1 sisyphus+279723.3000.1.1 1626649564 installed <13>Aug 7 00:54:36 rpmi: perl-IO-Socket-SSL-2.071-alt1 sisyphus+272630.100.1.1 1622111829 installed <13>Aug 7 00:54:36 rpmi: perl-Net-HTTPS-6.21-alt1 sisyphus+268278.100.1.1 1616563972 installed <13>Aug 7 00:54:36 rpmi: perl-LWP-Protocol-https-6.10-alt1 sisyphus+263916.100.1.3 1608937434 installed <13>Aug 7 00:54:36 rpmi: openssh-common-7.9p1-alt4.p10.1 p10+297014.100.1.1 1647968390 installed <86>Aug 7 00:54:36 groupadd[91318]: group added to /etc/group: name=sshagent, GID=497 <86>Aug 7 00:54:36 groupadd[91318]: group added to /etc/gshadow: name=sshagent <86>Aug 7 00:54:36 groupadd[91318]: new group: name=sshagent, GID=497 <13>Aug 7 00:54:37 rpmi: openssh-clients-7.9p1-alt4.p10.1 p10+297014.100.1.1 1647968390 installed <13>Aug 7 00:54:37 rpmi: git-core-2.33.3-alt1 p10+303145.100.1.1 1656934110 installed <13>Aug 7 00:54:37 rpmi: gambit-4.9.3-alt2 sisyphus+278823.100.1.1 1626220385 installed <13>Aug 7 00:54:37 rpmi: foomatic-db-engine-4.0.12-alt1 sisyphus+278189.100.1.1 1626076171 installed <13>Aug 7 00:54:38 rpmi: texlive-2021-alt1_2 p10+283520.100.2.1 1629888723 installed <13>Aug 7 00:54:50 rpmi: texlive-collection-basic-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Aug 7 00:54:52 rpmi: texlive-fonts-sources-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Aug 7 00:54:52 rpmi: texlive-texmf-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Aug 7 00:55:37 rpmi: texlive-dist-2021-alt1_4 p10+285501.100.2.1 1633027461 installed <13>Aug 7 00:55:37 rpmi: rpm-build-python3-0.1.17-alt1 sisyphus+271082.100.1.1 1619820927 installed <13>Aug 7 00:55:37 rpmi: python3-module-sphinx-sphinx-build-symlink-0.1-alt1 1375965103 installed <13>Aug 7 00:55:37 rpmi: python3-module-sphinx_rtd_theme-0.5.2-alt1 sisyphus+272856.100.1.1 1622394070 installed <13>Aug 7 00:55:37 rpmi: gcc-c++-10-alt1 sisyphus+263054.200.3.1 1607517515 installed <13>Aug 7 00:55:37 rpmi: flex-2.6.4.0.88.9801-alt1 sisyphus+275295.100.2.3 1624485314 installed Building target platforms: x86_64 Building for target x86_64 Wrote: /usr/src/in/nosrpm/verilator-4.210-alt1.nosrc.rpm (w1.gzdio) Installing verilator-4.210-alt1.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.25505 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf verilator-4.210 + echo 'Source #0 (verilator-4.210.tar):' Source #0 (verilator-4.210.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/verilator-4.210.tar + cd verilator-4.210 + /bin/chmod -c -Rf u+rwX,go-w . + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.65545 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-4.210 + autoconf + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CFLAGS + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export CXXFLAGS + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FFLAGS + FCFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2' + export FCFLAGS + '[' -n '' ']' ++ printf %s '-pipe -frecord-gcc-switches -Wall -g -O2' ++ sed -r 's/(^|[[:space:]]+)-[^m][^[:space:]]*//g' + ASFLAGS= + export ASFLAGS + export lt_cv_deplibs_check_method=pass_all + lt_cv_deplibs_check_method=pass_all + readlink -e -- ./configure + xargs -ri dirname -- '{}' + xargs -ri find '{}' -type f '(' -name config.sub -or -name config.guess ')' -printf '%h/\n' + sort -u + xargs -rn1 install -pm755 -- /usr/share/gnu-config/config.sub /usr/share/gnu-config/config.guess + ./configure --build=x86_64-alt-linux --host=x86_64-alt-linux --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/lib --localstatedir=/var/lib --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-dependency-tracking --disable-silent-rules --without-included-gettext configure: WARNING: unrecognized options: --without-included-gettext configuring for Verilator 4.210 2021-07-07 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for x86_64-alt-linux-gcc... x86_64-alt-linux-gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether x86_64-alt-linux-gcc accepts -g... yes checking for x86_64-alt-linux-gcc option to accept ISO C89... none needed checking for x86_64-alt-linux-g++... x86_64-alt-linux-g++ checking whether we are using the GNU C++ compiler... yes checking whether x86_64-alt-linux-g++ accepts -g... yes checking for a BSD-compatible install... /bin/install -c compiler is x86_64-alt-linux-g++ --version = x86_64-alt-linux-g++ (GCC) 10.3.1 20210703 (ALT Sisyphus 10.3.1-alt2) checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.7.6 checking for ccache... no checking how to run the C++ preprocessor... x86_64-alt-linux-g++ -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether x86_64-alt-linux-g++ accepts -pg... yes checking whether x86_64-alt-linux-g++ accepts -std=gnu++14... yes checking whether x86_64-alt-linux-g++ accepts -std=c++03... yes checking whether x86_64-alt-linux-g++ accepts -Wextra... yes checking whether x86_64-alt-linux-g++ accepts -Wfloat-conversion... yes checking whether x86_64-alt-linux-g++ accepts -Wlogical-op... yes checking whether x86_64-alt-linux-g++ accepts -Wthread-safety... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ accepts -Wno-char-subscripts... yes checking whether x86_64-alt-linux-g++ accepts -Wno-null-conversion... no checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-unused... yes checking whether x86_64-alt-linux-g++ accepts -Og... yes checking whether x86_64-alt-linux-g++ accepts -ggdb... yes checking whether x86_64-alt-linux-g++ accepts -gz... yes checking whether x86_64-alt-linux-g++ linker accepts -gz... yes checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -fbracket-depth=4096... no checking whether x86_64-alt-linux-g++ accepts -fcf-protection=none... yes checking whether x86_64-alt-linux-g++ accepts -mno-cet... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -Wno-bool-operation... yes checking whether x86_64-alt-linux-g++ accepts -Wno-tautological-bitwise-compare... no checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-sign-compare... yes checking whether x86_64-alt-linux-g++ accepts -Wno-uninitialized... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-but-set-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ linker accepts -mt... no checking whether x86_64-alt-linux-g++ linker accepts -pthread... yes checking whether x86_64-alt-linux-g++ linker accepts -lpthread... yes checking whether x86_64-alt-linux-g++ linker accepts -latomic... yes checking whether x86_64-alt-linux-g++ linker accepts -static-libgcc... yes checking whether x86_64-alt-linux-g++ linker accepts -static-libstdc++... no checking whether x86_64-alt-linux-g++ linker accepts -Xlinker -gc-sections... yes checking whether x86_64-alt-linux-g++ linker accepts -lpthread... yes checking whether x86_64-alt-linux-g++ linker accepts -lbcrypt... no checking whether x86_64-alt-linux-g++ linker accepts -lpsapi... no checking whether x86_64-alt-linux-g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether x86_64-alt-linux-g++ supports C++11... yes checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... no configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_build.h configure: WARNING: unrecognized options: --without-included-gettext Now type 'make' (or sometimes 'gmake') to build Verilator. + make -j16 all info make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' ------------------------------------------------------------ making verilator in src make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' make -C src make -C docs html make -C docs verilator.pdf make vl-extract make vl-extract make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src' mkdir -p obj_dbg make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src' mkdir -p obj_opt make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src' make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' pod2man bin/verilator verilator.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' pod2man bin/verilator_profcfunc verilator_profcfunc.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' make latex make vl-extract make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src' /usr/bin/python3 ./config_rev . >config_rev.h fatal: not a git repository (or any of the parent directories): .git fatal: not a git repository (or any of the parent directories): .git %Warning: No git revision found in config_rev.py make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --classes make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' /usr/bin/python3 ../astgen -I .. --classes make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' pod2man bin/verilator_coverage verilator_coverage.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' pod2man bin/verilator_gantt verilator_gantt.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' make[3]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. touch vlcovgen.d make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' sphinx-build -M latex "guide" "_build" -c guide Running Sphinx v4.0.2 making output directory... done building [mo]: targets for 0 po files that are out of date building [latex]: all documents updating environment: [new config] 28 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 14%] contributors reading sources... [ 17%] copyright reading sources... [ 21%] deprecations reading sources... [ 25%] environment reading sources... [ 28%] example_cc reading sources... [ 32%] example_common_install reading sources... [ 35%] example_dist reading sources... [ 39%] example_sc reading sources... [ 42%] examples reading sources... [ 46%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfuncs reading sources... [ 64%] executables reading sources... [ 67%] extensions reading sources... [ 71%] faq reading sources... [ 75%] files reading sources... [ 78%] index reading sources... [ 82%] install reading sources... [ 85%] languages reading sources... [ 89%] overview reading sources... [ 92%] simulating reading sources... [ 96%] verilating reading sources... [100%] warnings /usr/src/RPM/BUILD/verilator-4.210/docs/guide/files.rst:77: WARNING: Inline emphasis start-string without end-string. /usr/src/RPM/BUILD/verilator-4.210/docs/guide/warnings.rst:133: WARNING: Inline interpreted text or phrase reference start-string without end-string. /usr/src/RPM/BUILD/verilator-4.210/docs/guide/warnings.rst:133: WARNING: Inline interpreted text or phrase reference start-string without end-string. /usr/src/RPM/BUILD/verilator-4.210/docs/guide/warnings.rst:1010: WARNING: Inline interpreted text or phrase reference start-string without end-string. looking for now-outdated files... none found pickling environment... done checking consistency... done processing verilator.tex... index overview examples example_cc example_sc example_dist install verilating connecting simulating contributing faq languages extensions executables exe_verilator exe_verilator_coverage exe_verilator_gantt exe_verilator_profcfuncs exe_sim warnings files environment deprecations contributors changes copyright resolving references... /usr/src/RPM/BUILD/verilator-4.210/docs/guide/exe_verilator.rst:811: WARNING: unknown option: prof-c /usr/src/RPM/BUILD/verilator-4.210/docs/guide/exe_verilator.rst:823: WARNING: unknown option: prof-c done writing... done copying images... [100%] figures/fig_gantt_min.png copying TeX support files... copying TeX support files... done build succeeded, 6 warnings. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). python3 bin/vl_sphinx_fix _build make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' python3 bin/vl_sphinx_fix _build make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' make -C _build/latex make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Linking ../../bin/verilator_coverage_bin_dbg... x86_64-alt-linux-g++ -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' sphinx-build -M html "guide" "_build" -c guide Running Sphinx v4.0.2 making output directory... done building [mo]: targets for 0 po files that are out of date building [html]: targets for 28 source files that are out of date updating environment: [new config] 28 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 14%] contributors reading sources... [ 17%] copyright reading sources... [ 21%] deprecations reading sources... [ 25%] environment reading sources... [ 28%] example_cc reading sources... [ 32%] example_common_install reading sources... [ 35%] example_dist reading sources... [ 39%] example_sc reading sources... [ 42%] examples reading sources... [ 46%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfuncs reading sources... [ 64%] executables reading sources... [ 67%] extensions reading sources... [ 71%] faq reading sources... [ 75%] files reading sources... [ 78%] index reading sources... [ 82%] install reading sources... [ 85%] languages reading sources... [ 89%] overview reading sources... [ 92%] simulating reading sources... [ 96%] verilating reading sources... [100%] warnings /usr/src/RPM/BUILD/verilator-4.210/docs/guide/files.rst:77: WARNING: Inline emphasis start-string without end-string. /usr/src/RPM/BUILD/verilator-4.210/docs/guide/warnings.rst:133: WARNING: Inline interpreted text or phrase reference start-string without end-string. /usr/src/RPM/BUILD/verilator-4.210/docs/guide/warnings.rst:133: WARNING: Inline interpreted text or phrase reference start-string without end-string. /usr/src/RPM/BUILD/verilator-4.210/docs/guide/warnings.rst:1010: WARNING: Inline interpreted text or phrase reference start-string without end-string. looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done writing output... [ 3%] changes writing output... [ 7%] connecting writing output... [ 10%] contributing writing output... [ 14%] contributors writing output... [ 17%] copyright writing output... [ 21%] deprecations writing output... [ 25%] environment writing output... [ 28%] example_cc writing output... [ 32%] example_common_install writing output... [ 35%] example_dist writing output... [ 39%] example_sc writing output... [ 42%] examples writing output... [ 46%] exe_sim writing output... [ 50%] exe_verilator writing output... [ 53%] exe_verilator_coverage writing output... [ 57%] exe_verilator_gantt writing output... [ 60%] exe_verilator_profcfuncs writing output... [ 64%] executables writing output... [ 67%] extensions writing output... [ 71%] faq writing output... [ 75%] files writing output... [ 78%] index writing output... [ 82%] install writing output... [ 85%] languages writing output... [ 89%] overview writing output... [ 92%] simulating writing output... [ 96%] verilating writing output... [100%] warnings /usr/src/RPM/BUILD/verilator-4.210/docs/guide/exe_verilator.rst:811: WARNING: unknown option: prof-c /usr/src/RPM/BUILD/verilator-4.210/docs/guide/exe_verilator.rst:823: WARNING: unknown option: prof-c generating indices... done writing additional pages... search done copying images... [100%] figures/fig_gantt_min.png copying static files... done copying extra files... done dumping search index in English (code: en)... done dumping object inventory... done build succeeded, 6 warnings. The HTML pages are in _build/html. python3 bin/vl_sphinx_fix _build make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs/_build/latex' latexmk -pdf -dvi- -ps- 'verilator.tex' Rc files read: ./latexmkrc Latexmk: This is Latexmk, John Collins, 29 September 2020, version: 4.70b. Rule 'pdflatex': The following rules & subrules became out-of-date: 'pdflatex' ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.141592653-2.6-1.40.22 (TeX Live 2021/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2020-10-01> patch level 4 L3 programming layer <2021-02-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2020/04/10 v1.4m Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/babel.def (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref-langpatches.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] [1] Chapter 1. LaTeX Warning: Hyper reference `examples:examples' on page 1 undefined on input line 142. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 152. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 2 undefined on input line 156. LaTeX Warning: Hyper reference `example_dist:examples-in-the-distribution' on p age 2 undefined on input line 160. LaTeX Warning: Hyper reference `install:installation' on page 2 undefined on in put line 173. (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 3 undefin ed on input line 228. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 3 undefined on input line 233. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 3 undefine d on input line 238. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 3 undefi ned on input line 243. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 3 undefined on input line 245. LaTeX Warning: Hyper reference `files:files-read-written' on page 3 undefined o n input line 262. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 3 undef ined on input line 290. LaTeX Warning: Hyper reference `install:installation' on page 3 undefined on in put line 294. [3] [4] [5] Chapter 3. LaTeX Warning: Hyper reference `install:git-install' on page 6 undefined on inp ut line 446. LaTeX Warning: Hyper reference `install:detailed-build-instructions' on page 6 undefined on input line 458. [6] LaTeX Warning: Hyper reference `install:package-manager-quick-install' on page 7 undefined on input line 496. [7] LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 8 undefined on input line 557. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 8 undefined on input line 558. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 8 undefined on input line 608. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 8 undefined on input line 609. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 8 undefined on input line 609. [8] LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 9 undefined on input line 632. [9] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 10 undefined on input line 736. [10] [11] [12] Chapter 4. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 13 undefine d on input line 914. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 13 undefine d on input line 914. LaTeX Warning: Hyper reference `verilating:c-and-systemc-generation' on page 13 undefined on input line 915. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 13 u ndefined on input line 919. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 13 un defined on input line 924. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 13 undefined on input line 930. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 13 undefine d on input line 942. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 13 undefine d on input line 942. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top-module' on page 13 undefined on input line 952. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 13 und efined on input line 953. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 13 undefi ned on input line 959. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 13 unde fined on input line 960. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 13 undefin ed on input line 965. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 13 undef ined on input line 971. LaTeX Warning: Hyper reference `verilating:gnu-make' on page 13 undefined on in put line 971. LaTeX Warning: Hyper reference `verilating:cmake' on page 13 undefined on input line 972. LaTeX Warning: Hyper reference `simulating:simulating' on page 13 undefined on input line 977. [13] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 14 undefined on input line 1009. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-hier_block' on page 14 undefined on input line 1013. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 14 u ndefined on input line 1013. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 1 4 undefined on input line 1018. Underfull \hbox (badness 7777) in paragraph at lines 1068--1071 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [14] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 15 undefined on input line 1082. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 15 undefined on input line 1088. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 15 undef ined on input line 1092. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 15 undefined on input line 1137. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1150. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1160. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 15 und efined on input line 1160. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 15 undefined on input line 1161. [15] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1176. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1177. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1178. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1178. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1179. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1183. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1193. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 16 undefined on input line 1201. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 16 und efined on input line 1204. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-threads' on page 1 6 undefined on input line 1225. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 16 un defined on input line 1236. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-2' on page 16 undefined on input line 1240. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 16 und efined on input line 1243. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1247. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 16 undef ined on input line 1251. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 16 undefin ed on input line 1255. [16] LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 17 unde fined on input line 1278. [17] [18] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 19 undefi ned on input line 1512. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 19 unde fined on input line 1513. [19] Chapter 5. LaTeX Warning: Hyper reference `files:files-read-written' on page 20 undefined on input line 1587. [20] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1662--1666 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp->internal->member->lookup \T1/ qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp->rootp->internal->member->l ookup LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 21 undefine d on input line 1675. [21] LaTeX Warning: Hyper reference `connecting:evaluation-loop' on page 22 undefine d on input line 1729. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 22 undefine d on input line 1735. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-pins64' on page 22 u ndefined on input line 1743. [22] [23] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 24 undefined on input line 1908. [24] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 25 undefin ed on input line 1981. [25] [26] [27] Chapter 6. LaTeX Warning: Hyper reference `exe_sim:simulation-runtime-arguments' on page 2 8 undefined on input line 2139. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 28 undefine d on input line 2145. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 28 undefined on input line 2146. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 28 undefined on input line 2146. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 28 unde fined on input line 2146. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 28 undefine d on input line 2146. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 28 undefined on input line 2148. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 28 undefined on input line 2148. LaTeX Warning: Hyper reference `verilating:multithreading' on page 28 undefined on input line 2154. Underfull \hbox (badness 5064) in paragraph at lines 2169--2174 []\T1/qtm/m/n/10 The sup-plied $VER-I-LA-TOR_ROOT/include/verilated.mk file use s the OPT, OPT_FAST, OPT_SLOW and LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 2 8 undefined on input line 2186. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 28 unde fined on input line 2193. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 28 und efined on input line 2193. [28] LaTeX Warning: Hyper reference `simulating:profiling' on page 29 undefined on i nput line 2252. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 29 un defined on input line 2264. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 29 undefined on input line 2269. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 29 undefined on input line 2273. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 29 undefine d on input line 2277. LaTeX Warning: Hyper reference `simulating:coverage-collection' on page 29 unde fined on input line 2283. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 29 un defined on input line 2289. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 29 undefined on input line 2289. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 29 unde fined on input line 2295. [29] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 30 un defined on input line 2309. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 30 undefined on input line 2309. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 30 undefined on input line 2318. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 30 undefined on input line 2320. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 30 undefined on input line 2321. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 30 un defined on input line 2333. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 30 undefined on input line 2333. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 30 undefined on input line 2343. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-max-width' on page 30 undefined on input line 2344. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 30 undefined on input line 2369. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 30 undefined on input line 2370. [30] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 31 undefined on input line 2431. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 31 und efined on input line 2457. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-threads' on page 3 1 undefined on input line 2461. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-threads-fi le-filename' on page 31 undefined on input line 2473. Underfull \hbox (badness 10000) in paragraph at lines 2471--2474 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [31] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 32 und efined on input line 2543. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 32 und efined on input line 2544. [32 <./fig_gantt_min.png>] [33] Chapter 7. LaTeX Warning: Hyper reference `languages:language-limitations' on page 34 unde fined on input line 2587. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 34 undef ined on input line 2590. [34] [35] [36] Chapter 8. [37] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 38 undef ined on input line 2875. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 38 undefined on input line 2878. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 38 undef ined on input line 2889. [38] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 39 undefin ed on input line 2930. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 39 undef ined on input line 2943. Underfull \hbox (badness 10000) in paragraph at lines 2942--2949 []\T1/qtm/m/n/10 Pass the \T1/txtt/m/sl/10 --trace \T1/qtm/m/n/10 op-tion to Ve r-i-la-tor, and in your top level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/10 , cal l LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 39 undefin ed on input line 2989. [39] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 40 u ndefined on input line 3007. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-tracing_off' on page 40 undefined on input line 3054. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 40 undefined on input line 3060. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 40 undefined on input line 3061. [40] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 41 undefined on input line 3121. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 41 unde fined on input line 3129. LaTeX Warning: Hyper reference `connecting:connecting-to-c' on page 41 undefine d on input line 3136. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 41 undefin ed on input line 3152. [41] LaTeX Warning: Hyper reference `connecting:connecting' on page 42 undefined on input line 3171. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 2 undefined on input line 3189. LaTeX Warning: Hyper reference `simulating:benchmarking-optimization' on page 4 2 undefined on input line 3197. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 2 undefined on input line 3210. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 42 undefined on input line 3216. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 42 undefined on input line 3223. [42] LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 43 undefined on input line 3265. [43] [44] Chapter 9. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 45 u ndefined on input line 3347. [45] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 46 un defined on input line 3415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 46 un defined on input line 3416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 46 unde fined on input line 3426. [46] Underfull \hbox (badness 5802) in paragraph at lines 3503--3509 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 3503--3509 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes [47] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 48 undefined on input line 3551. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 48 undefined on input line 3560. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-7' on page 48 undefined on input line 3571. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 48 undefined on input line 3578. [48] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 49 undefined on input line 3640. [49] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 50 undefined on input line 3699. Overfull \hbox (921.56468pt too wide) in paragraph at lines 3712--3715 [] Overfull \hbox (1599.4048pt too wide) in paragraph at lines 3725--3728 [] Overfull \hbox (7.76494pt too wide) in paragraph at lines 3787--3790 [] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 50 undef ined on input line 3794. [50] Overfull \hbox (19.42479pt too wide) in paragraph at lines 3825--3828 [] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 51 undefined on input line 3831. [51] Chapter 10. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__FILE__' on page 52 u ndefined on input line 3868. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__LINE__' on page 52 u ndefined on input line 3879. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 52 undefined on input line 3925. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-3' on page 52 undef ined on input line 3955. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 52 u ndefined on input line 3955. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 52 un defined on input line 3964. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 52 undefined on input line 3965. [52] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 53 un defined on input line 3977. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 53 undefined on input line 3978. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 53 un defined on input line 3990. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 53 undefined on input line 3991. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 53 un defined on input line 4003. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 53 undefined on input line 4004. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 53 un defined on input line 4016. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 53 undefined on input line 4017. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 53 un defined on input line 4029. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 53 undefined on input line 4030. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 53 undefined on input line 4038. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 53 un defined on input line 4049. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 53 un defined on input line 4080. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 53 u ndefined on input line 4081. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 53 undefined on input line 4091. [53] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-IMPERFECTSCH' on page 54 undefined on input line 4118. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clock_enable' on pa ge 54 undefined on input line 4122. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 54 undefin ed on input line 4140. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1' on page 54 undef ined on input line 4143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-2' on page 54 undef ined on input line 4143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-3' on page 54 undef ined on input line 4157. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 54 un defined on input line 4177. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 54 undefined on input line 4178. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 5 4 undefined on input line 4191. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 54 undefined on input line 4194. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 54 unde fined on input line 4205. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-inline' on page 54 undefined on input line 4210. [54] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-5' on page 55 undef ined on input line 4262. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-7' on page 55 undef ined on input line 4328. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-7' on page 55 undef ined on input line 4345. [55] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 56 undefined on input line 4396. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 56 undefined on input line 4429. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 56 undefined on input line 4445. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rd' on page 56 undefined on input line 4458. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 56 undefined on input line 4471. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rw' on page 56 undefined on input line 4474. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 56 unde fined on input line 4487. [56] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 57 undefined on input line 4490. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-9' on page 57 undef ined on input line 4521. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-10' on page 57 unde fined on input line 4537. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 57 un defined on input line 4549. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-11' on page 57 unde fined on input line 4580. [57] Chapter 11. [58] Underfull \vbox (badness 10000) detected at line 4800 Underfull \vbox (badness 10000) detected at line 4800 [59] Underfull \vbox (badness 10000) detected at line 4800 Underfull \vbox (badness 10000) detected at line 4800 [60] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 61 undefin ed on input line 4816. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 61 unde fined on input line 4822. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 61 und efined on input line 4822. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 61 und efined on input line 4833. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 61 undefin ed on input line 4840. [61] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog1995ext-ext' on page 62 undefined on input line 4890. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog2001ext-ext' on page 62 undefined on input line 4890. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-systemverilogext-ex t' on page 62 undefined on input line 4891. Underfull \hbox (badness 10000) in paragraph at lines 4888--4892 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/txtt/m/sl/10 +verilog1995ext+\T1/qtm/m/n/10 , LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 62 undefined on input line 4896. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 62 un defined on input line 4896. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 62 undefin ed on input line 4995. [62] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 63 undefine d on input line 5022. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 63 u ndefined on input line 5035. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 63 undefin ed on input line 5057. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 63 undefined on input line 5067. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 63 undef ined on input line 5092. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-make' on page 63 undefi ned on input line 5093. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 63 undef ined on input line 5094. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 63 undefined on input line 5145. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 63 undefined on input line 5146. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 63 undefined on input line 5146. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 63 undefined on input line 5155. [63] LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 64 undefine d on input line 5175. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-underscore' on pa ge 64 undefined on input line 5185. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 64 undefined on input line 5195. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-0' on page 64 undef ined on input line 5204. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 64 unde fined on input line 5224. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug-check' on page 64 undefined on input line 5228. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-treei' on page 64 undefined on input line 5232. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-debug-leak' on page 64 undefined on input line 5236. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 64 undef ined on input line 5253. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 64 undef ined on input line 5263. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 64 undef ined on input line 5269. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 64 undef ined on input line 5272. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 64 unde fined on input line 5294. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 64 undef ined on input line 5295. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 64 undefined on input line 5312. [64] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 65 undefined on input line 5315. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 65 undef ined on input line 5340. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 65 undef ined on input line 5341. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 65 undefi ned on input line 5353. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 65 undefined on input line 5362. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 65 u ndefined on input line 5380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 65 undef ined on input line 5381. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 65 u ndefined on input line 5381. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-treei' on page 65 undefined on input line 5400. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 65 u ndefined on input line 5401. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-defines' on page 6 5 undefined on input line 5431. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-P' on page 65 undefined on input line 5431. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pp-comments' on page 65 undefined on input line 5432. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-error-limit-val ue' on page 65 undefined on input line 5446. [65] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 66 undefined on input line 5479. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 66 undefined on input line 5480. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 66 undefined on input line 5491. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 66 undefined on input line 5491. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 66 un defined on input line 5524. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdbbt' on page 66 undef ined on input line 5595. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5604. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 66 undef ined on input line 5606. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 66 undefin ed on input line 5608. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 66 undefined on input line 5617. [66] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 67 undefined on input line 5631. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 67 undefined on input line 5650. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 67 undefined on input line 5651. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 67 undefined on input line 5660. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 67 undefined on input line 5679. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 67 undef ined on input line 5702. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 67 und efined on input line 5723. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 67 undefined on input line 5753. [67] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 68 undefi ned on input line 5779. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-sys' on page 68 un defined on input line 5784. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 68 undefined on input line 5784. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 68 undef ined on input line 5793. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 68 undefine d on input line 5819. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 68 undefine d on input line 5819. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-MMD' on page 68 undefin ed on input line 5828. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 68 unde fined on input line 5863. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 68 undefin ed on input line 5873. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 68 und efined on input line 5894. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 68 undefine d on input line 5905. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 68 undefine d on input line 5906. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 68 unde fined on input line 5934. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 68 undefine d on input line 5935. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-inline-mult' on page 68 undefined on input line 5936. [68] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 69 undefin ed on input line 5958. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 69 unde fined on input line 5959. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 6 9 undefined on input line 5984. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 6 9 undefined on input line 6003. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 6 9 undefined on input line 6006. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 6 9 undefined on input line 6006. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 6 9 undefined on input line 6010. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split-cfuncs' on page 69 undefined on input line 6020. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 6 9 undefined on input line 6024. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 69 undefined on input line 6034. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 69 und efined on input line 6044. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 6 9 undefined on input line 6059. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-biguint' on pag e 69 undefined on input line 6071. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-uint' on page 6 9 undefined on input line 6083. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 69 und efined on input line 6095. [69] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 70 undefined on input line 6122. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 70 undefined on input line 6132. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 70 undefin ed on input line 6142. LaTeX Warning: Hyper reference `simulating:profiling' on page 70 undefined on i nput line 6153. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 70 undefined on input line 6156. LaTeX Warning: Hyper reference `simulating:profiling' on page 70 undefined on i nput line 6171. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 70 undefined on input line 6174. LaTeX Warning: Hyper reference `simulating:thread-profiling' on page 70 undefin ed on input line 6183. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 70 undefined on input line 6192. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 70 undefined on input line 6197. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 70 undefined on input line 6202. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 70 undefined on input line 6219. [70] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 71 undefined on input line 6248. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 71 undefined on input line 6248. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 71 undefined on input line 6257. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-3' on page 71 undefined on input line 6258. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 71 unde fined on input line 6269. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 71 undefined on input line 6284. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_module' o n page 71 undefined on input line 6286. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 71 undefined on input line 6288. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 71 undefin ed on input line 6301. Underfull \hbox (badness 7522) in paragraph at lines 6296--6305 \T1/qtm/m/n/10 De-clares all vari-ables, ports and wires pub-lic as if they had \T1/txtt/m/n/10 /*verilator public_flat_rw @ LaTeX Warning: Hyper reference `exe_verilator:cmdoption-G-name' on page 71 unde fined on input line 6313. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 71 u ndefined on input line 6365. [71] LaTeX Warning: Hyper reference `simulating:save-restore' on page 72 undefined o n input line 6407. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 72 undefine d on input line 6416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 72 undef ined on input line 6436. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 72 undef ined on input line 6437. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-structs-packed' on page 72 undefined on input line 6448. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 72 un defined on input line 6460. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1800-2017ext-ext' o n page 72 undefined on input line 6470. LaTeX Warning: Hyper reference `verilating:multithreading' on page 72 undefined on input line 6489. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 72 und efined on input line 6510. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 72 und efined on input line 6540. [72] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 73 unde fined on input line 6592. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 73 und efined on input line 6594. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 73 u ndefined on input line 6604. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 73 undefined on input line 6620. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 73 undef ined on input line 6629. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 73 undefined on input line 6630. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 73 undefined on input line 6631. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 73 undefined on input line 6632. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 73 undefined on input line 6649. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 73 undef ined on input line 6662. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 73 undefined on input line 6662. [73] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 74 undef ined on input line 6716. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 74 u ndefined on input line 6717. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 74 undefined on input line 6720. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 74 undefined on input line 6731. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 74 undefined on input line 6750. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 74 undefined on input line 6760. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-getenv' on page 74 unde fined on input line 6782. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 74 undef ined on input line 6802. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-1995ext-ext' o n page 74 undefined on input line 6813. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-2001ext-ext' o n page 74 undefined on input line 6822. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 74 u ndefined on input line 6856. [74] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-lint' on page 75 undefined on input line 6867. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-style' on page 75 undefined on input line 6868. Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/qtm/m/n/10 Dis-able all lint re-lated warn-ing mes-sages, and all style war n-ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE -Wno-CASEOVE RLAP -Wno-CASEX Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-CASTCONST -Wno-CASEWITHX -Wno-CMPCONST -Wno-COLONPLUS -Wno -ENDLABEL Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-IMPLICIT -Wno-LITENDIAN -Wno-PINCONNECTEMPTY -Wno-PINMISSI NG -Wno-SYNCASYNCNET Underfull \hbox (badness 10000) in paragraph at lines 6957--6963 \T1/qtm/m/n/10 to \T1/txtt/m/n/10 -Wno-DECLFILENAME -Wno-DEFPARAM -Wno-EOFNEWLI NE -Wno-IMPORTSTAR -Wno-INCABSPATH Underfull \hbox (badness 10000) in paragraph at lines 6957--6963 \T1/txtt/m/n/10 -Wno-PINCONNECTEMPTY -Wno-PINNOCONNECT -Wno-SYNCASYNCNET -Wno-U NDRIVEN -Wno-UNUSED LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 75 undefined on input line 6973. Underfull \hbox (badness 10000) in paragraph at lines 6992--6999 \T1/txtt/m/n/10 -Wwarn-CASEOVERLAP -Wwarn-CASEX -Wwarn-CASTCONST -Wwarn-CASEWIT HX -Wwarn-CMPCONST Underfull \hbox (badness 10000) in paragraph at lines 6992--6999 \T1/txtt/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDI AN -Wwarn-PINMISSING [75] Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/qtm/m/n/10 En-able all code style re-lated warn-ing mes-sages. This is equi v-a-lent to \T1/txtt/m/n/10 -Wwarn ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/txtt/m/n/10 -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE -Wwarn-IN CABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/txtt/m/n/10 -Wwarn-PINNOCONNECT -Wwarn-SYNCASYNCNET -Wwarn-UNDRIVEN -Wwarn- UNUSED LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 76 undefined on input line 7052. LaTeX Warning: Hyper reference `languages:unknown-states' on page 76 undefined on input line 7096. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 76 undefined on input line 7110. [76] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 77 undefined on input line 7143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-converge-limit' on page 77 undefined on input line 7149. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 77 un defined on input line 7176. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 77 undefined on input line 7186. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 77 undefined on input line 7187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 77 undef ined on input line 7187. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 77 undefined on input line 7188. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 77 undefined on input line 7188. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 77 undef ined on input line 7189. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 77 undefi ned on input line 7193. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilator_config' on p age 77 undefined on input line 7207. [77] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 78 undefined on input line 7266. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 78 undefin ed on input line 7295. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 78 undefined on input line 7298. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 78 undefined on input line 7318. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 7 8 undefined on input line 7347. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 78 undefined on input line 7348. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 78 undefined on input line 7358. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 78 undefined on input line 7385. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 78 undefined on input line 7395. [78] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 79 undefined on input line 7418. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-lint' on page 79 un defined on input line 7449. LaTeX Warning: Hyper reference `extensions:cmdoption-2' on page 79 undefined on input line 7488. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_flat' on page 79 undefined on input line 7489. LaTeX Warning: Hyper reference `connecting:vpi-example' on page 79 undefined on input line 7490. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 7 9 undefined on input line 7506. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 79 undefined on input line 7529. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 79 un defined on input line 7546. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 79 undefined on input line 7549. [79] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 80 undefined on input line 7600. LaTeX Warning: Hyper reference `simulating:coverage-analysis' on page 80 undefi ned on input line 7604. [80] LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-write' on page 81 undefined on input line 7702. LaTeX Warning: Hyper reference `simulating:profiling' on page 81 undefined on i nput line 7753. [81] LaTeX Warning: Hyper reference `simulating:profiling' on page 82 undefined on i nput line 7863. [82] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debugi-value' o n page 83 undefined on input line 7924. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-threads' on page 8 3 undefined on input line 7962. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-threads' on page 8 3 undefined on input line 7973. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-threads' on page 8 3 undefined on input line 7987. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-threads-st art-value' on page 83 undefined on input line 7988. Underfull \hbox (badness 10000) in paragraph at lines 7986--7993 \T1/qtm/m/n/10 When a model was Ver-i-lated us-ing \T1/txtt/m/sl/10 --prof-thre ads\T1/qtm/m/n/10 , af-ter $time reaches LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 83 undefined on input line 8001. LaTeX Warning: Hyper reference `languages:unknown-states' on page 83 undefined on input line 8003. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-9' on page 83 undefined on input line 8012. [83] [84] Chapter 12. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 85 u ndefined on input line 8072. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-8' on page 85 undef ined on input line 8073. [85] [86] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 8 7 undefined on input line 8280. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-stmts' on page 8 7 undefined on input line 8280. [87] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cdc' on page 88 undefin ed on input line 8414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 88 undefin ed on input line 8428. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 88 un defined on input line 8496. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 88 un defined on input line 8496. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 88 un defined on input line 8497. [88] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 89 undefined on input line 8513. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 89 undefined on input line 8515. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 89 un defined on input line 8609. [89] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 90 undefined on input line 8647. [90] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 91 undefined on input line 8775. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-if-depth' on page 91 un defined on input line 8786. [91] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 92 undefined on input line 8900. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-COMBDLY' on page 92 unde fined on input line 8954. [92] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 93 undefined on input line 9093. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 93 undefin ed on input line 9099. [93] [94] [95] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 96 undefined on input line 9486. [96] [97] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Werror-message' on page 98 undefined on input line 9644. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale' on page 98 u ndefined on input line 9668. [98] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 99 undefined on input line 9801. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 99 undefined on input line 9804. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 99 undefined on input line 9814. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 99 undefined on input line 9818. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 99 und efined on input line 9838. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-max-mtasks' on page 99 undefined on input line 9842. [99] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 100 undefined on input line 9893. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 100 undefined on input line 9895. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 100 undefined on input line 9906. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 100 undefined on input line 9929. [100] [101] [102] Chapter 13. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 103 undef ined on input line 10225. [103] [104] [105] Chapter 14. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 106 unde fined on input line 10613. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 106 undefined on input line 10638. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 106 undefined on input line 10639. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 106 undefined on input line 10651. [106] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 107 undefi ned on input line 10722. LaTeX Warning: Hyper reference `install:installation' on page 107 undefined on input line 10737. [107] Chapter 15. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-8' on page 108 unde fined on input line 10756. [108] Chapter 16. [109] [110] [111] Chapter 17. [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] Underfull \hbox (badness 10000) in paragraph at lines 13870--13873 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] Chapter 18. [186] (./verilator.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `verilator.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/tex-gyre/q-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/ q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc} Output written on verilator.pdf (188 pages, 688536 bytes). Transcript written on verilator.log. Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'verilator.pdf' Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'verilator.aux' 'verilator.out' ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ Latexmk: Examining 'verilator.log' === TeX engine is 'pdfTeX' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.141592653-2.6-1.40.22 (TeX Live 2021/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2020-10-01> patch level 4 L3 programming layer <2021-02-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2020/04/10 v1.4m Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/babel.def (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref-langpatches.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./verilator.out) (./verilator.out) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] [3] [4] [5] Chapter 3. [6] [7] [8] [9] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 10 undefined on input line 736. [10] [11] [12] Chapter 4. [13] Underfull \hbox (badness 7777) in paragraph at lines 1068--1071 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [14] [15] [16] [17] [18] [19] Chapter 5. [20] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1662--1666 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp->internal->member->lookup \T1/ qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp->rootp->internal->member->l ookup [21] [22] [23] [24] [25] [26] [27] Chapter 6. Underfull \hbox (badness 5064) in paragraph at lines 2169--2174 []\T1/qtm/m/n/10 The sup-plied $VER-I-LA-TOR_ROOT/include/verilated.mk file use s the OPT, OPT_FAST, OPT_SLOW and [28] [29] [30] Underfull \hbox (badness 10000) in paragraph at lines 2471--2474 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [31] [32 <./fig_gantt_min.png>] [33] Chapter 7. [34] [35] [36] Chapter 8. [37] [38] Underfull \hbox (badness 10000) in paragraph at lines 2942--2949 []\T1/qtm/m/n/10 Pass the [][]\T1/txtt/m/sl/10 --trace[][] \T1/qtm/m/n/10 op-ti on to Ver-i-la-tor, and in your top level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/ 10 , call [39] [40] (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) [41] [42] [43] [44] Chapter 9. [45] [46] Underfull \hbox (badness 5802) in paragraph at lines 3503--3509 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 3503--3509 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes [47] [48] [49] Overfull \hbox (921.56468pt too wide) in paragraph at lines 3712--3715 [] Overfull \hbox (1599.4048pt too wide) in paragraph at lines 3725--3728 [] Overfull \hbox (7.76494pt too wide) in paragraph at lines 3787--3790 [] [50] Overfull \hbox (19.42479pt too wide) in paragraph at lines 3825--3828 [] [51] Chapter 10. [52] [53] [54] [55] [56] [57] Chapter 11. [58] Underfull \vbox (badness 10000) detected at line 4800 Underfull \vbox (badness 10000) detected at line 4800 [59] Underfull \vbox (badness 10000) detected at line 4800 Underfull \vbox (badness 10000) detected at line 4800 [60] [61] Underfull \hbox (badness 10000) in paragraph at lines 4888--4892 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/sl/10 +verilog1995ext+[][]\T1/qtm/m/n/10 , [62] [63] [64] [65] [66] [67] [68] [69] [70] Underfull \hbox (badness 7522) in paragraph at lines 6296--6305 \T1/qtm/m/n/10 De-clares all vari-ables, ports and wires pub-lic as if they had \T1/txtt/m/n/10 /*verilator public_flat_rw @ [71] [72] [73] [74] Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/qtm/m/n/10 Dis-able all lint re-lated warn-ing mes-sages, and all style war n-ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE -Wno-CASEOVE RLAP -Wno-CASEX Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-CASTCONST -Wno-CASEWITHX -Wno-CMPCONST -Wno-COLONPLUS -Wno -ENDLABEL Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-IMPLICIT -Wno-LITENDIAN -Wno-PINCONNECTEMPTY -Wno-PINMISSI NG -Wno-SYNCASYNCNET Underfull \hbox (badness 10000) in paragraph at lines 6957--6963 \T1/qtm/m/n/10 to \T1/txtt/m/n/10 -Wno-DECLFILENAME -Wno-DEFPARAM -Wno-EOFNEWLI NE -Wno-IMPORTSTAR -Wno-INCABSPATH Underfull \hbox (badness 10000) in paragraph at lines 6957--6963 \T1/txtt/m/n/10 -Wno-PINCONNECTEMPTY -Wno-PINNOCONNECT -Wno-SYNCASYNCNET -Wno-U NDRIVEN -Wno-UNUSED Underfull \hbox (badness 10000) in paragraph at lines 6992--6999 \T1/txtt/m/n/10 -Wwarn-CASEOVERLAP -Wwarn-CASEX -Wwarn-CASTCONST -Wwarn-CASEWIT HX -Wwarn-CMPCONST Underfull \hbox (badness 10000) in paragraph at lines 6992--6999 \T1/txtt/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDI AN -Wwarn-PINMISSING [75] Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/qtm/m/n/10 En-able all code style re-lated warn-ing mes-sages. This is equi v-a-lent to \T1/txtt/m/n/10 -Wwarn ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/txtt/m/n/10 -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE -Wwarn-IN CABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/txtt/m/n/10 -Wwarn-PINNOCONNECT -Wwarn-SYNCASYNCNET -Wwarn-UNDRIVEN -Wwarn- UNUSED [76] [77] [78] [79] [80] [81] [82] Underfull \hbox (badness 10000) in paragraph at lines 7986--7993 \T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][]\T1/txtt/m/sl/10 --prof- threads[][]\T1/qtm/m/n/10 , af-ter $time reaches [83] [84] Chapter 12. [85] [86] [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] Chapter 13. [103] [104] [105] Chapter 14. [106] [107] Chapter 15. [108] Chapter 16. [109] [110] [111] Chapter 17. [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] Underfull \hbox (badness 10000) in paragraph at lines 13870--13873 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] Chapter 18. [186] (./verilator.aux) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/tex-gyre/q-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.e nc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q-ec.enc} Output written on verilator.pdf (189 pages, 737627 bytes). Transcript written on verilator.log. Latexmk: Log file says output to 'verilator.pdf' Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'verilator.aux' 'verilator.toc' ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ Latexmk: Examining 'verilator.log' === TeX engine is 'pdfTeX' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.141592653-2.6-1.40.22 (TeX Live 2021/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2020-10-01> patch level 4 L3 programming layer <2021-02-18> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2020/04/10 v1.4m Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/babel.def (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref-langpatches.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./verilator.out) (./verilator.out) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] [3] [4] [5] Chapter 3. [6] [7] [8] [9] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 10 undefined on input line 736. [10] [11] [12] Chapter 4. [13] Underfull \hbox (badness 7777) in paragraph at lines 1068--1071 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [14] [15] [16] [17] [18] [19] Chapter 5. [20] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1662--1666 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp->internal->member->lookup \T1/ qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp->rootp->internal->member->l ookup [21] [22] [23] [24] [25] [26] [27] Chapter 6. Underfull \hbox (badness 5064) in paragraph at lines 2169--2174 []\T1/qtm/m/n/10 The sup-plied $VER-I-LA-TOR_ROOT/include/verilated.mk file use s the OPT, OPT_FAST, OPT_SLOW and [28] [29] [30] Underfull \hbox (badness 10000) in paragraph at lines 2471--2474 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [31] [32 <./fig_gantt_min.png>] [33] Chapter 7. [34] [35] [36] Chapter 8. [37] [38] Underfull \hbox (badness 10000) in paragraph at lines 2942--2949 []\T1/qtm/m/n/10 Pass the [][]\T1/txtt/m/sl/10 --trace[][] \T1/qtm/m/n/10 op-ti on to Ver-i-la-tor, and in your top level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/ 10 , call [39] [40] (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) [41] [42] [43] [44] Chapter 9. [45] [46] Underfull \hbox (badness 5802) in paragraph at lines 3503--3509 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 3503--3509 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes [47] [48] [49] Overfull \hbox (921.56468pt too wide) in paragraph at lines 3712--3715 [] Overfull \hbox (1599.4048pt too wide) in paragraph at lines 3725--3728 [] Overfull \hbox (7.76494pt too wide) in paragraph at lines 3787--3790 [] [50] Overfull \hbox (19.42479pt too wide) in paragraph at lines 3825--3828 [] [51] Chapter 10. [52] [53] [54] [55] [56] [57] Chapter 11. [58] Underfull \vbox (badness 10000) detected at line 4800 Underfull \vbox (badness 10000) detected at line 4800 [59] Underfull \vbox (badness 10000) detected at line 4800 Underfull \vbox (badness 10000) detected at line 4800 [60] [61] Underfull \hbox (badness 10000) in paragraph at lines 4888--4892 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/sl/10 +verilog1995ext+[][]\T1/qtm/m/n/10 , [62] [63] [64] [65] [66] [67] [68] [69] [70] Underfull \hbox (badness 7522) in paragraph at lines 6296--6305 \T1/qtm/m/n/10 De-clares all vari-ables, ports and wires pub-lic as if they had \T1/txtt/m/n/10 /*verilator public_flat_rw @ [71] [72] [73] [74] Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/qtm/m/n/10 Dis-able all lint re-lated warn-ing mes-sages, and all style war n-ings. This is equiv-a-lent to Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-ALWCOMBORDER -Wno-BSSPACE -Wno-CASEINCOMPLETE -Wno-CASEOVE RLAP -Wno-CASEX Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-CASTCONST -Wno-CASEWITHX -Wno-CMPCONST -Wno-COLONPLUS -Wno -ENDLABEL Underfull \hbox (badness 10000) in paragraph at lines 6938--6945 \T1/txtt/m/n/10 -Wno-IMPLICIT -Wno-LITENDIAN -Wno-PINCONNECTEMPTY -Wno-PINMISSI NG -Wno-SYNCASYNCNET Underfull \hbox (badness 10000) in paragraph at lines 6957--6963 \T1/qtm/m/n/10 to \T1/txtt/m/n/10 -Wno-DECLFILENAME -Wno-DEFPARAM -Wno-EOFNEWLI NE -Wno-IMPORTSTAR -Wno-INCABSPATH Underfull \hbox (badness 10000) in paragraph at lines 6957--6963 \T1/txtt/m/n/10 -Wno-PINCONNECTEMPTY -Wno-PINNOCONNECT -Wno-SYNCASYNCNET -Wno-U NDRIVEN -Wno-UNUSED Underfull \hbox (badness 10000) in paragraph at lines 6992--6999 \T1/txtt/m/n/10 -Wwarn-CASEOVERLAP -Wwarn-CASEX -Wwarn-CASTCONST -Wwarn-CASEWIT HX -Wwarn-CMPCONST Underfull \hbox (badness 10000) in paragraph at lines 6992--6999 \T1/txtt/m/n/10 -Wwarn-COLONPLUS -Wwarn-ENDLABEL -Wwarn-IMPLICIT -Wwarn-LITENDI AN -Wwarn-PINMISSING [75] Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/qtm/m/n/10 En-able all code style re-lated warn-ing mes-sages. This is equi v-a-lent to \T1/txtt/m/n/10 -Wwarn ASSIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/txtt/m/n/10 -Wwarn-DECLFILENAME -Wwarn-DEFPARAM -Wwarn-EOFNEWLINE -Wwarn-IN CABSPATH Underfull \hbox (badness 10000) in paragraph at lines 7006--7011 \T1/txtt/m/n/10 -Wwarn-PINNOCONNECT -Wwarn-SYNCASYNCNET -Wwarn-UNDRIVEN -Wwarn- UNUSED [76] [77] [78] [79] [80] [81] [82] Underfull \hbox (badness 10000) in paragraph at lines 7986--7993 \T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][]\T1/txtt/m/sl/10 --prof- threads[][]\T1/qtm/m/n/10 , af-ter $time reaches [83] [84] Chapter 12. [85] [86] [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] Chapter 13. [103] [104] [105] Chapter 14. [106] [107] Chapter 15. [108] Chapter 16. [109] [110] [111] Chapter 17. [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] Underfull \hbox (badness 10000) in paragraph at lines 13870--13873 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] Chapter 18. [186] (./verilator.aux) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf-dist/font s/enc/dvips/tex-gyre/q-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.e nc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q-ec.enc} Output written on verilator.pdf (189 pages, 737627 bytes). Transcript written on verilator.log. Latexmk: Log file says output to 'verilator.pdf' Latexmk: Examining 'verilator.log' === TeX engine is 'pdfTeX' Latexmk: All targets (verilator.pdf) are up-to-date make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs/_build/latex' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/docs' cp _build/latex/verilator.pdf .. make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' /usr/bin/python3 ../astgen -I .. V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp -o V3Changed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp -o V3GenClk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp -o V3GraphDfa.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp -o V3EmitC.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp -o V3Changed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/python3 ../astgen -I .. V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp -o V3EmitC.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp -o V3GenClk.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp -o V3GraphDfa.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' Linking ../../bin/verilator_bin... x86_64-alt-linux-g++ -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3DupFinder.o V3EmitC.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Global.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkInc.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3Waiver.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Linking ../../bin/verilator_bin_dbg... x86_64-alt-linux-g++ -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3DupFinder.o V3EmitC.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Global.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkInc.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3Waiver.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' Build complete! Now type 'make test' to test. make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.44264 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/verilator-buildroot + : + /bin/rm -rf -- /usr/src/tmp/verilator-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games + cd verilator-4.210 + make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/verilator-buildroot make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' ------------------------------------------------------------ making verilator in src make -C src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src' /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/bin mkdir /usr/src/tmp/verilator-buildroot mkdir /usr/src/tmp/verilator-buildroot/usr mkdir /usr/src/tmp/verilator-buildroot/usr/bin ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator /usr/src/tmp/verilator-buildroot/usr/bin/verilator ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_coverage /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_gantt /usr/src/tmp/verilator-buildroot/usr/bin/verilator_gantt ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_profcfunc /usr/src/tmp/verilator-buildroot/usr/bin/verilator_profcfunc ) ( cd bin ; /usr/libexec/rpm-build/install -p verilator_bin /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin ) ( cd bin ; /usr/libexec/rpm-build/install -p verilator_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin_dbg ) ( cd bin ; /usr/libexec/rpm-build/install -p verilator_coverage_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin mkdir /usr/src/tmp/verilator-buildroot/usr/share mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_includer /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer ) ( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_ccache_report /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_ccache_report ) /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/man/man1 mkdir /usr/src/tmp/verilator-buildroot/usr/share/man mkdir /usr/src/tmp/verilator-buildroot/usr/share/man/man1 for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/man/man1/$p; \ done /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/gtkwave mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done cd . \ ; for p in include/*.[chv]* include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_protect_lib mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_c mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_sc mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_protect_lib mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/xml_py mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/Makefile* examples/*/CMakeLists.txt ; do \ /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig mkdir /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig /usr/libexec/rpm-build/install -p -m 644 verilator.pc /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig /usr/libexec/rpm-build/install -p -m 644 verilator-config.cmake /usr/src/tmp/verilator-buildroot/usr/share/verilator /usr/libexec/rpm-build/install -p -m 644 verilator-config-version.cmake /usr/src/tmp/verilator-buildroot/usr/share/verilator Installed binaries to /usr/src/tmp/verilator-buildroot/usr/bin/verilator Installed man to /usr/src/tmp/verilator-buildroot/usr/share/man/man1 Installed examples to /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see https://verilator.org make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' + mkdir -p /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/ + mv /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig/verilator.pc /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/verilator.pc + mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/ + mv /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/ + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/verilator-buildroot (auto) Verifying and fixing files in /usr/src/tmp/verilator-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/verilator-buildroot/ (default) Compressing files in /usr/src/tmp/verilator-buildroot (auto) Adjusting library links in /usr/src/tmp/verilator-buildroot ./usr/lib64: (from :0) debugedit: ./usr/bin/verilator_coverage_bin_dbg: DWARF version 0 unhandled debugedit: ./usr/bin/verilator_bin_dbg: DWARF version 0 unhandled Verifying ELF objects in /usr/src/tmp/verilator-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal) Hardlinking identical .pyc and .opt-?.pyc files Executing(%check): /bin/sh -e /usr/src/tmp/rpm-tmp.42386 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-4.210 + make test make: Entering directory '/usr/src/RPM/BUILD/verilator-4.210' ------------------------------------------------------------ making verilator in src make -C src make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -pipe -frecord-gcc-switches -Wall -g -O2 -O2 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src/obj_opt' make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/src' test_regress/t/t_a1_first_cc.pl ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Unsup 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V sh: gdb: command not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 4.210 2021-07-07 rev UNKNOWN.REV Starting Verilator 4.210 2021-07-07 rev UNKNOWN.REV Verilator 4.210 2021-07-07 rev UNKNOWN.REV Copyright 2003-2021 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 0 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/src/RPM/BUILD/verilator-4.210/test_regress/.. VERILATOR_BIN = Features (based on environment or compiled-in support): SystemC found = 0 driver.pl: Python didn't find at least two CPUs perl /usr/src/RPM/BUILD/verilator-4.210/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc -OD --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log sh: gdb: command not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 4.210 2021-07-07 rev UNKNOWN.REV Starting Verilator 4.210 2021-07-07 rev UNKNOWN.REV make -C obj_vlt/t_a1_first_cc -f /usr/src/RPM/BUILD/verilator-4.210/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/test_regress/obj_vlt/t_a1_first_cc' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.210/test_regress/../include/verilated.cpp x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-4.210/test_regress/../include/verilated_vcd_c.cpp /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.210/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root.cpp Vt_a1_first_cc__Trace.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__Slow.cpp > Vt_a1_first_cc__ALL.cpp x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include -I/usr/src/RPM/BUILD/verilator-4.210/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o x86_64-alt-linux-g++ Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o Vt_a1_first_cc__ALL.a -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp driver: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:04 ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:04 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Unsup 0 Time 0:04 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Unsup 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== driver.pl: Python didn't find at least two CPUs -Skip: vlt/t_a2_first_sc: Test requires SystemC; ignore error since not installed vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed ==SUMMARY: Passed 0 Failed 0 Skipped 1 Unsup 0 Time 0:00 ==SUMMARY: Passed 0 Failed 0 Skipped 1 Unsup 0 Time 0:00 ====================================================================== #vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed TESTS DONE, PASSED w/SKIPS: Passed 0 Failed 0 Skipped 1 Unsup 0 Time 0:00 for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /usr/src/RPM/BUILD/verilator-4.210/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' echo "" > Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.210/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root.cpp Vtop___024root__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -Os -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -Os -c -o Vtop__ALL.o Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' Archive ar -rcs Vtop__ALL.a Vtop__ALL.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o Vtop__ALL.a -o Vtop make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c/obj_dir' rm Vtop__ALL.verilator_deplist.tmp -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:11: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: Also see the EXAMPLE section in the verilator manpage/document. make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_hello_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_c' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_c' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_sc' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_sc' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_hello_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_protect_lib' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_protect_lib' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_protect_lib' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_protect_lib' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_c' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_c' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_sc' which: no cmake in (/usr/src/bin:/bin:/usr/bin:/usr/X11R6/bin:/usr/games) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_sc' %Skip: CMake has not been found make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/cmake_tracing_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /usr/src/RPM/BUILD/verilator-4.210/bin/verilator -cc -Os -x-assign 0 -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' echo "" > libverilated_secret.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.210/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSUGv7.cpp Vsecret_impl_PSUGv7__Slow.cpp Vsecret_impl_PScBN9.cpp > Vsecret_impl__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated_secret.o verilated_secret.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_secret.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -std=gnu++14 -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_secret.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir_secret' rm libverilated_secret.verilator_deplist.tmp -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /usr/src/RPM/BUILD/verilator-4.210/bin/verilator -cc -Os -x-assign 0 -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.210/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root.cpp Vtop__Dpi.cpp Vtop__Trace.cpp Vtop___024root__Slow.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' echo "" > Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated_vcd_c.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated_dpi.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated_dpi.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o Vtop__ALL.o Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' Archive ar -rcs Vtop__ALL.a Vtop__ALL.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -std=gnu++14 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -o Vtop make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib/obj_dir' rm Vtop__ALL.verilator_deplist.tmp -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=1660529494 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:31: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_protect_lib' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /usr/src/RPM/BUILD/verilator-4.210/bin/verilator -cc --exe -Os -x-assign 0 -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' echo "" > Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' /usr/bin/perl /usr/src/RPM/BUILD/verilator-4.210/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root.cpp Vtop__Trace.cpp Vtop___024root__Slow.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated_vcd_c.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_cov.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated_cov.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o Vtop__ALL.o Vtop__ALL.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' Archive ar -rcs Vtop__ALL.a Vtop__ALL.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ -I. -MMD -I/usr/src/RPM/BUILD/verilator-4.210/include -I/usr/src/RPM/BUILD/verilator-4.210/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -std=gnu++14 -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated.o /usr/src/RPM/BUILD/verilator-4.210/include/verilated.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' x86_64-alt-linux-g++ sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o Vtop__ALL.a -o Vtop make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c/obj_dir' rm Vtop__ALL.verilator_deplist.tmp -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /usr/src/RPM/BUILD/verilator-4.210/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/31) 6.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_c' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/make_tracing_sc' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-4.210/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make: Leaving directory '/usr/src/RPM/BUILD/verilator-4.210' + exit 0 Processing files: verilator-4.210-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.cL8ue1 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.l8DHm4 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) /usr/src/tmp/verilator-buildroot/usr/bin/verilator syntax OK /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage syntax OK /usr/src/tmp/verilator-buildroot/usr/bin/verilator_gantt syntax OK /usr/src/tmp/verilator-buildroot/usr/bin/verilator_profcfunc syntax OK /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer syntax OK /usr/lib/rpm/python3.req.py:6: DeprecationWarning: The parser module is deprecated and will be removed in future versions of Python import parser, symbol, token, types /usr/lib/rpm/python3.req.py:6: DeprecationWarning: The symbol module is deprecated and will be removed in future versions of Python import parser, symbol, token, types find-requires: FINDPACKAGE-COMMANDS: perl python3 Provides: pkgconfig(verilator) = 4.210 Requires: /lib64/ld-linux-x86-64.so.2, /usr/bin/env, /usr/lib64/pkgconfig, libc.so.6(GLIBC_2.14)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.3)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.4)(64bit), libc.so.6(GLIBC_2.7)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libm.so.6(GLIBC_2.29)(64bit), libpthread.so.0(GLIBC_2.2.5)(64bit), libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(CXXABI_1.3.5)(64bit), libstdc++.so.6(CXXABI_1.3.8)(64bit), libstdc++.so.6(CXXABI_1.3.9)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.14)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.17)(64bit), libstdc++.so.6(GLIBCXX_3.4.18)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.26)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), perl(Cwd.pm), perl(Data/Dumper.pm), perl(FindBin.pm), perl(Getopt/Long.pm), perl(IO/File.pm), perl(Pod/Usage.pm), perl-base, python3, python3(argparse) < 0, python3(collections) < 0, python3(datetime) < 0, python3(pathlib) < 0, python3(re) < 0, rtld(GNU_HASH) Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.AMOi12 Creating verilator-debuginfo package Processing files: verilator-doc-4.210-alt1 Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.96070 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-4.210 + DOCDIR=/usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.210 + export DOCDIR + rm -rf /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.210 + /bin/mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.210 + cp -prL verilator.pdf docs/_build/html /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.210 + chmod -R go-w /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.210 + chmod -R a+rX /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-4.210 + exit 0 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.12DqE1 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.rpYxS0 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Processing files: verilator-debuginfo-4.210-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ATaKk1 find-provides: running scripts (debuginfo) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.LOc443 find-requires: running scripts (debuginfo) Requires: verilator = 4.210-alt1, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libc.so.6), debug64(libm.so.6), debug64(libpthread.so.0), debug64(libstdc++.so.6) Adding to verilator-debuginfo a strict dependency on verilator Wrote: /usr/src/RPM/RPMS/x86_64/verilator-4.210-alt1.x86_64.rpm (w2T16.xzdio) Wrote: /usr/src/RPM/RPMS/noarch/verilator-doc-4.210-alt1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/x86_64/verilator-debuginfo-4.210-alt1.x86_64.rpm (w2T16.xzdio) 1332.78user 84.04system 3:26.51elapsed 686%CPU (0avgtext+0avgdata 866376maxresident)k 0inputs+0outputs (0major+25303030minor)pagefaults 0swaps /.out/verilator-doc-4.210-alt1.noarch.rpm: The use of such a license name is ambiguous: Artistic /.out/verilator-doc-4.210-alt1.noarch.rpm: license not found in '/usr/share/license' directory: 2.0 /.out/verilator-4.210-alt1.x86_64.rpm: The use of such a license name is ambiguous: Artistic /.out/verilator-4.210-alt1.x86_64.rpm: license not found in '/usr/share/license' directory: 2.0 /.out/verilator-debuginfo-4.210-alt1.x86_64.rpm: The use of such a license name is ambiguous: Artistic /.out/verilator-debuginfo-4.210-alt1.x86_64.rpm: license not found in '/usr/share/license' directory: 2.0 1439.96user 124.09system 5:58.00elapsed 436%CPU (0avgtext+0avgdata 866376maxresident)k 1905432inputs+0outputs (32302major+28061721minor)pagefaults 0swaps --- verilator-4.210-alt1.x86_64.rpm.repo 2021-07-09 08:18:31.000000000 +0000 +++ verilator-4.210-alt1.x86_64.rpm.hasher 2022-08-07 01:00:09.030804537 +0000 @@ -111,6 +111,6 @@ File: /usr/bin/verilator 100755 root:root 83efbe043ebfd14bc78fa70c93169970 -File: /usr/bin/verilator_bin 100755 root:root cc91bf55018a1ffa24f186717ba405fb -File: /usr/bin/verilator_bin_dbg 100755 root:root 8b80d2275b593e02f1d24ce47225c84e +File: /usr/bin/verilator_bin 100755 root:root 7766cb9ad929f8a761fd5d96e6aafdff +File: /usr/bin/verilator_bin_dbg 100755 root:root 27677d036e1968b1a3adddafe9111116 File: /usr/bin/verilator_coverage 100755 root:root 5d7ba587a3db31fcadbc6c28dc494a12 -File: /usr/bin/verilator_coverage_bin_dbg 100755 root:root 34130c06a2864862283147f827031f2a +File: /usr/bin/verilator_coverage_bin_dbg 100755 root:root 3f805dfd786077f93cdadfcf5109799d File: /usr/bin/verilator_gantt 100755 root:root 524e575fb67928a7e774b0897266d07d @@ -177,2 +177,2 @@ File: /usr/share/verilator/verilator-config.cmake 100644 root:root 0927bdaae07340bf24df3bf56c2e3c8b -RPMIdentity: 5163e3012deed55329fe95672b61a032990742cb46493a09faa5033e7f68a360fbae2d5a84e5770bb019a31d69e4114a14d879aace0d80afe3ca078b855244cb +RPMIdentity: ef8b0790a80d94f1290adad5c5d7f4e01ce5d614fed8a59040e76097bc037ce366d3dafdb7f90a6528c5c0f09c0eb017249d349217072a69a2e482095ea60047 --- verilator-debuginfo-4.210-alt1.x86_64.rpm.repo 2021-07-09 08:18:31.000000000 +0000 +++ verilator-debuginfo-4.210-alt1.x86_64.rpm.hasher 2022-08-07 01:00:09.106805724 +0000 @@ -1,10 +1,10 @@ -/usr/lib/debug/.build-id/24 40755 root:root -/usr/lib/debug/.build-id/24/81cca088503eda65dbcf5dde4754ea5fd79b5a 120777 root:root ../../../../bin/verilator_bin_dbg -/usr/lib/debug/.build-id/24/81cca088503eda65dbcf5dde4754ea5fd79b5a.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug -/usr/lib/debug/.build-id/69 40755 root:root -/usr/lib/debug/.build-id/69/b408588ec6de85d99a6b0c9bb6ad83349e6660 120777 root:root ../../../../bin/verilator_bin -/usr/lib/debug/.build-id/69/b408588ec6de85d99a6b0c9bb6ad83349e6660.debug 120777 root:root ../../usr/bin/verilator_bin.debug -/usr/lib/debug/.build-id/e3 40755 root:root -/usr/lib/debug/.build-id/e3/0e437126f2bb3cb3fcf7e4eb9dfe1ae33d6336 120777 root:root ../../../../bin/verilator_coverage_bin_dbg -/usr/lib/debug/.build-id/e3/0e437126f2bb3cb3fcf7e4eb9dfe1ae33d6336.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug +/usr/lib/debug/.build-id/5c 40755 root:root +/usr/lib/debug/.build-id/5c/252081c9726d5d3e70c572461fb65b029f7906 120777 root:root ../../../../bin/verilator_bin +/usr/lib/debug/.build-id/5c/252081c9726d5d3e70c572461fb65b029f7906.debug 120777 root:root ../../usr/bin/verilator_bin.debug +/usr/lib/debug/.build-id/6a 40755 root:root +/usr/lib/debug/.build-id/6a/c554a89dbd03a51775b2ccb7eaf1af18bcf274 120777 root:root ../../../../bin/verilator_bin_dbg +/usr/lib/debug/.build-id/6a/c554a89dbd03a51775b2ccb7eaf1af18bcf274.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +/usr/lib/debug/.build-id/bb 40755 root:root +/usr/lib/debug/.build-id/bb/0c644d494dab7999a70dac23890c7a7919152c 120777 root:root ../../../../bin/verilator_coverage_bin_dbg +/usr/lib/debug/.build-id/bb/0c644d494dab7999a70dac23890c7a7919152c.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root @@ -281,14 +281,14 @@ Provides: verilator-debuginfo = 4.210-alt1:sisyphus+277793.100.1.1 -File: /usr/lib/debug/.build-id/24 40755 root:root -File: /usr/lib/debug/.build-id/24/81cca088503eda65dbcf5dde4754ea5fd79b5a 120777 root:root ../../../../bin/verilator_bin_dbg -File: /usr/lib/debug/.build-id/24/81cca088503eda65dbcf5dde4754ea5fd79b5a.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug -File: /usr/lib/debug/.build-id/69 40755 root:root -File: /usr/lib/debug/.build-id/69/b408588ec6de85d99a6b0c9bb6ad83349e6660 120777 root:root ../../../../bin/verilator_bin -File: /usr/lib/debug/.build-id/69/b408588ec6de85d99a6b0c9bb6ad83349e6660.debug 120777 root:root ../../usr/bin/verilator_bin.debug -File: /usr/lib/debug/.build-id/e3 40755 root:root -File: /usr/lib/debug/.build-id/e3/0e437126f2bb3cb3fcf7e4eb9dfe1ae33d6336 120777 root:root ../../../../bin/verilator_coverage_bin_dbg -File: /usr/lib/debug/.build-id/e3/0e437126f2bb3cb3fcf7e4eb9dfe1ae33d6336.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug -File: /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root aa9b868c4636723acb768a93e7ae0439 -File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug 100644 root:root f29f9029ad0289da0d86f30c7c95e236 -File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug 100644 root:root cfe4f3048e0ad976fa4a17611be3bca5 +File: /usr/lib/debug/.build-id/5c 40755 root:root +File: /usr/lib/debug/.build-id/5c/252081c9726d5d3e70c572461fb65b029f7906 120777 root:root ../../../../bin/verilator_bin +File: /usr/lib/debug/.build-id/5c/252081c9726d5d3e70c572461fb65b029f7906.debug 120777 root:root ../../usr/bin/verilator_bin.debug +File: /usr/lib/debug/.build-id/6a 40755 root:root +File: /usr/lib/debug/.build-id/6a/c554a89dbd03a51775b2ccb7eaf1af18bcf274 120777 root:root ../../../../bin/verilator_bin_dbg +File: /usr/lib/debug/.build-id/6a/c554a89dbd03a51775b2ccb7eaf1af18bcf274.debug 120777 root:root ../../usr/bin/verilator_bin_dbg.debug +File: /usr/lib/debug/.build-id/bb 40755 root:root +File: /usr/lib/debug/.build-id/bb/0c644d494dab7999a70dac23890c7a7919152c 120777 root:root ../../../../bin/verilator_coverage_bin_dbg +File: /usr/lib/debug/.build-id/bb/0c644d494dab7999a70dac23890c7a7919152c.debug 120777 root:root ../../usr/bin/verilator_coverage_bin_dbg.debug +File: /usr/lib/debug/usr/bin/verilator_bin.debug 100644 root:root 63ebf82232a031cd64590aa4cc32ed72 +File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug 100644 root:root 3851593c80740006a14623a24559fb7d +File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug 100644 root:root a5956c3281c30022124583834b249a04 File: /usr/src/debug/verilator-4.210 40755 root:root @@ -554,2 +554,2 @@ File: /usr/src/debug/verilator-4.210/src/verilog.l 100644 root:root d099100f8f10da9ba74fbd0a0a97ce2c -RPMIdentity: 5dced4032fecfde86a50d3bf397f0fe4303981388b0e9dd84889a54bb3d2d93b797fa14d60cb5c705ff4eae3ed8a36d8d65d9a952501299bf864f2fef02c1751 +RPMIdentity: 3d26bf9d9422557dc69b7761e3bc58202f1321a51dae250457974096e742f4225c5beb94537b4c89edfdfd674b9ac4ff19aede156e0ca1664100a33db6afe2b4 --- verilator-doc-4.210-alt1.noarch.rpm.repo 2021-07-09 08:18:31.000000000 +0000 +++ verilator-doc-4.210-alt1.noarch.rpm.hasher 2022-08-07 01:00:09.272808318 +0000 @@ -212,3 +212,3 @@ File: /usr/share/doc/verilator-doc-4.210/html/warnings.html 100644 root:root 98c1122f5c41a930e5f619e36acdcb4b -File: /usr/share/doc/verilator-doc-4.210/verilator.pdf 100644 root:root 52f8284f4537a8be7a0cb155c702877d +File: /usr/share/doc/verilator-doc-4.210/verilator.pdf 100644 root:root fd247420160cf63a987f4fbd354bbe2c File: /usr/share/doc/verilator/examples 40755 root:root @@ -260,2 +260,2 @@ File: /usr/share/doc/verilator/examples/xml_py/top.v 100644 root:root 578da3c2619f6729fa4b92a6f20cf363 -RPMIdentity: 5fee09d2a2cb24d3de354bcca0549d008c2eb2aef2cbf6496c1d3ae07ff53f67c5afe3bc4e468c865450b57d210e3666413b67c4d038edcf49f18bc795aaa1a2 +RPMIdentity: 5947932b267a7deb063cc8a4c505e4db35fe306a53934c7c805e3f2f7c472579775be711b1e1229d5af988ef9d356683ed465b9016ebb8995c759d56868021e0