<86>May 4 04:30:58 userdel[1965133]: delete user 'rooter' <86>May 4 04:30:58 userdel[1965133]: removed group 'rooter' owned by 'rooter' <86>May 4 04:30:58 userdel[1965133]: removed shadow group 'rooter' owned by 'rooter' <86>May 4 04:30:58 groupadd[1965203]: group added to /etc/group: name=rooter, GID=1217 <86>May 4 04:30:58 groupadd[1965203]: group added to /etc/gshadow: name=rooter <86>May 4 04:30:58 groupadd[1965203]: new group: name=rooter, GID=1217 <86>May 4 04:30:58 useradd[1965223]: new user: name=rooter, UID=1217, GID=1217, home=/root, shell=/bin/bash, from=none <86>May 4 04:30:58 userdel[1965253]: delete user 'builder' <86>May 4 04:30:58 userdel[1965253]: removed group 'builder' owned by 'builder' <86>May 4 04:30:58 groupadd[1965271]: group added to /etc/group: name=builder, GID=1218 <86>May 4 04:30:58 groupadd[1965271]: group added to /etc/gshadow: name=builder <86>May 4 04:30:58 groupadd[1965271]: new group: name=builder, GID=1218 <86>May 4 04:30:58 useradd[1965295]: new user: name=builder, UID=1218, GID=1218, home=/usr/src, shell=/bin/bash, from=none <13>May 4 04:31:04 rpmi: libmpdec3-2.5.1-alt3 sisyphus+314490.500.5.1 1675432004 installed <13>May 4 04:31:04 rpmi: libgdbm-1.8.3-alt10 sisyphus+278100.1600.1.1 1626058413 installed <13>May 4 04:31:04 rpmi: libexpat-2.5.0-alt1 sisyphus+309227.100.1.1 1667075764 installed <13>May 4 04:31:04 rpmi: libb2-0.98.1-alt1_1 sisyphus+291614.100.1.1 1638962877 installed <13>May 4 04:31:04 rpmi: libp11-kit-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645560 installed <13>May 4 04:31:04 rpmi: libtasn1-4.19.0-alt3 sisyphus+327816.100.1.1 1692802615 installed <13>May 4 04:31:04 rpmi: rpm-macros-alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>May 4 04:31:04 rpmi: alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>May 4 04:31:04 rpmi: ca-certificates-2024.02.24-alt1 sisyphus+341362.100.1.1 1708791001 installed <13>May 4 04:31:04 rpmi: ca-trust-0.2.0-alt1 sisyphus+344843.100.1.1 1712743326 installed <13>May 4 04:31:04 rpmi: p11-kit-trust-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645560 installed <13>May 4 04:31:05 rpmi: libcrypto3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982212 installed <13>May 4 04:31:05 rpmi: libssl3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982212 installed <13>May 4 04:31:05 rpmi: python3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232871 installed <13>May 4 04:31:06 rpmi: python3-base-3.12.2-alt1 sisyphus+341702.200.1.1 1709232871 installed <13>May 4 04:31:06 rpmi: python3-module-py3dephell-0.1.0-alt2 sisyphus+328191.600.5.1 1693609196 installed <13>May 4 04:31:06 rpmi: tests-for-installed-python3-pkgs-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>May 4 04:31:06 rpmi: rpm-build-python3-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>May 4 04:31:09 rpmi: rpm-build-tcl-0.6.2-alt1 sisyphus+299320.100.1.1 1651401392 installed <13>May 4 04:31:10 rpmi: libtcl-8.6.13-alt1 sisyphus+310696.100.1.1 1669548266 installed <13>May 4 04:31:10 rpmi: tcl-8.6.13-alt1 sisyphus+310696.100.1.1 1669548266 installed <13>May 4 04:31:10 rpmi: gcc-c++-common-1.4.27-alt1 sisyphus+278099.1300.1.1 1626028636 installed <13>May 4 04:31:10 rpmi: libstdc++13-devel-13.2.1-alt3 sisyphus+339335.100.1.1 1706563486 installed <13>May 4 04:31:11 rpmi: gcc13-c++-13.2.1-alt3 sisyphus+339335.100.1.1 1706563486 installed <13>May 4 04:31:11 rpmi: gcc-c++-13-alt1 sisyphus+323337.300.1.1 1687267966 installed <13>May 4 04:31:11 rpmi: tcl-devel-8.6.13-alt1 sisyphus+310696.100.1.1 1669548266 installed <13>May 4 04:31:11 rpmi: zlib-devel-1.3.1-alt1 sisyphus+342532.100.1.1 1710232285 installed <13>May 4 04:31:11 rpmi: libreadline-devel-8.2.10-alt1 sisyphus+338911.100.4.1 1706717067 installed <13>May 4 04:31:11 rpmi: libffi-devel-1:3.4.6-alt1 sisyphus+341904.100.1.1 1709474358 installed <13>May 4 04:31:11 rpmi: flex-2.6.4.0.88.9801-alt2 sisyphus+283727.100.1.1 1629851973 installed Building target platforms: x86_64 Building for target x86_64 Wrote: /usr/src/in/nosrpm/yosys-0.40-alt1.nosrc.rpm (w1.gzdio) Installing yosys-0.40-alt1.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.98648 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf yosys-0.40 + echo 'Source #0 (yosys-0.40.tar):' Source #0 (yosys-0.40.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/yosys-0.40.tar + cd yosys-0.40 + /bin/chmod -c -Rf u+rwX,go-w . + echo 'Patch #0 (yosys-0.40-alt1.patch):' Patch #0 (yosys-0.40-alt1.patch): + /usr/bin/patch -p1 patching file yosys-config.1 patching file yosys-filterlib.1 patching file yosys-smtbmc.1 patching file yosys.1 + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.98648 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd yosys-0.40 + make config-gcc make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_a1bb0255d.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk techlibs/quicklogic/ql_dsp_macc_pm.h .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs' make -C source/code_examples/extensions clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/extensions' rm -f *.d *.so *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/extensions' make -C source/code_examples/fifo clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/fifo' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/fifo' make -C source/code_examples/intro clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/intro' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/intro' make -C source/code_examples/macc clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/macc' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/macc' make -C source/code_examples/opt clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/opt' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/opt' make -C source/code_examples/scrambler clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/scrambler' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/scrambler' make -C source/code_examples/selections clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/selections' rm -rf *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/selections' make -C source/code_examples/show clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/show' rm -rf *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/show' make -C source/code_examples/stubnets clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log rm -f stubnets.so stubnets.d make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/stubnets' make -C source/code_examples/synth_flow clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/synth_flow' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/synth_flow' make -C source/code_examples/techmap clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/techmap' rm -f *.dot make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ make -C source/_images clean make[2]: Entering directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/_images' rm -f **/*.log **/*.aux rm -rf code_examples rm -f **/*.pdf **/*.svg make[2]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs/source/_images' make[1]: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40/docs' echo 'CONFIG := gcc' > Makefile.conf make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' + echo 'CXXFLAGS += -pipe -frecord-gcc-switches -Wall -g -O2 ' + make -j8 ABCEXTERNAL=abc PRETTY=0 PREFIX=/usr make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' [Makefile.conf] CONFIG := gcc [Makefile.conf] CXXFLAGS += -pipe -frecord-gcc-switches -Wall -g -O2 make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.40 (git sha1 a1bb0255d, g++ 13.2.1 -frecord-gcc-switches -O2 -fPIC -frecord-gcc-switches -O2 -Os)\"; }" > kernel/version_a1bb0255d.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/binding.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/calc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/cellaigs.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/log.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/celledges.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/driver.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/qcsat.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/yw.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/json.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/bigint/ g++ -o libs/bigint/BigInteger.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerUtils.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/yosys.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"abc"' kernel/yosys.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/ff.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/sha1/ g++ -o libs/sha1/sha1.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/fstdata.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/minisat/ g++ -o libs/minisat/Options.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezminisat.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/json11/ g++ -o libs/json11/json11.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/minisat/ g++ -o libs/minisat/System.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/minisat/ g++ -o libs/minisat/Solver.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/fst/ g++ -o libs/fst/fstapi.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/mem.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/fmt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc kernel/fmt.cc: In member function 'std::string Yosys::Fmt::render() const': kernel/fmt.cc:837:91: warning: comparison of integer expressions of different signedness: 'std::__cxx11::basic_string::size_type' {aka 'long unsigned int'} and 'int' [-Wsign-compare] 837 | if (buf.size() % (group_size + 1) == group_size) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/ast/ g++ -o frontends/ast/dpicall.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/ast/ g++ -o frontends/ast/ast.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/json/ g++ -o frontends/json/jsonparse.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/blif/ g++ -o frontends/blif/blifparse.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verific/ g++ -o frontends/verific/verific.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_frontend.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ g++ -o frontends/verilog/preproc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_frontend.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/ast/ g++ -o frontends/ast/genrtlil.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ g++ -o frontends/verilog/const2ast.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/rtlil.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/rpc/ g++ -o frontends/rpc/rpc_frontend.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/add.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/satgen.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here 1231 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here 1259 | int undef_srst; | ^~~~~~~~~~ make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/delete.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/ast/ g++ -o frontends/ast/simplify.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/design.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/show.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/autoname.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/exec.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/rename.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/viz.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/select.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/setundef.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/connect.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/scatter.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/setattr.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/splitcells.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/copy.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/logcmd.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/splice.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/torder.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/tee.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/stat.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/scc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/cover.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/write_file.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/splitnets.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/connwrappers.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/trace.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/plugin.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/portlist.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/glift.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/blackbox.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/check.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/chformal.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/qwp.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/edgetypes.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/scratchpad.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/printattrs.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/ltp.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/logger.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/sta.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/clean_zerowidth.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_status.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/future.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_remove.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_make.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/dft_tag.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_miter.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/cmds/ g++ -o passes/cmds/xprop.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_induct.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_simple.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_mark.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_opt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_purge.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_export.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_recode.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/uniquify.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_info.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_expand.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_opt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_map.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/hierarchy.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/submod.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_detect.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_memx.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_nordff.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_map.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_extract.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_narrow.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_bmux2rom.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_bram.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_mem.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_widen.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memlib.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_feedback.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_merge.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_muxtree.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_priority.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_reduce.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_share.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/rmports.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_clean.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_demorgan.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_dff.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_lut_ins.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/wreduce.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_lut.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_ffinv.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/muxpack.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/pmux2shiftx.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_prune.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ g++ -o passes/pmgen/peepopt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_clean.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_rmdead.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_dsp.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/share.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_init.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_arst.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_rom.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_srl.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_memwr.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_mux.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_dlatch.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/miter.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/assertpmux.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/clk2fflogic.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/sat.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/supercover.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/async2sync.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/cutpoint.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/fmcombine.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/fminit.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/formalff.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/mutate.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/synthprop.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/sim.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/flatten.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/simplemap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/maccmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/dfflibmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/libparse.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_exe.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"abc"' passes/techmap/abc9_exe.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/booth.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/recover_names.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/abc9.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"abc"' passes/techmap/abc9.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/techmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/sat/ g++ -o passes/sat/qbfsat.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/hilomap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/clkbufmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/iopadmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/extract_reduce.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/extract_counter.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/extract.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/abc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"abc"' passes/techmap/abc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/pmuxtree.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/opt/ g++ -o passes/opt/opt_expr.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/bmuxmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/alumacc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/dffinit.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/bwmuxmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/extract_fa.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/demuxmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/lut2mux.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/aigmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/tribuf.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/insbuf.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/deminout.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/muxcover.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/nlutmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/attrmvcp.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/zinit.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/attrmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/shregmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/dffunmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/extractinv.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/dfflegalize.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/tests/ g++ -o passes/tests/test_autotb.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/tests/ g++ -o passes/tests/test_abcloop.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/aiger/ g++ -o backends/aiger/aiger.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/blif/ g++ -o backends/blif/blif.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/aiger/ g++ -o backends/aiger/xaiger.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/tests/ g++ -o passes/tests/test_cell.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/edif/ g++ -o backends/edif/edif.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/flowmap.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/intersynth/ g++ -o backends/intersynth/intersynth.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/firrtl/ g++ -o backends/firrtl/firrtl.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/jny/ g++ -o backends/jny/jny.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/rtlil/ g++ -o backends/rtlil/rtlil_backend.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/json/ g++ -o backends/json/json.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/btor/ g++ -o backends/btor/btor.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/table/ g++ -o backends/table/table.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/spice/ g++ -o backends/spice/spice.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/achronix/ g++ -o techlibs/achronix/synth_achronix.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/synth_anlogic.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_eqn.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_fixcarry.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/simplec/ g++ -o backends/simplec/simplec.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/cxxrtl/ g++ -o backends/cxxrtl/cxxrtl_backend.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/common/ g++ -o techlibs/common/prep.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/common/ g++ -o techlibs/common/synth.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/easic/ g++ -o techlibs/easic/synth_easic.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/ecp5/ g++ -o techlibs/ecp5/synth_ecp5.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/smv/ g++ -o backends/smv/smv.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/synth_efinix.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/efinix_fixcarry.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/synth_gatemate.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/fabulous/ g++ -o techlibs/fabulous/synth_fabulous.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/gowin/ g++ -o techlibs/gowin/synth_gowin.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/verilog/ g++ -o backends/verilog/verilog_backend.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/synth_greenpak4.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/gatemate_foldinv.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/synth_ice40.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p backends/smt2/ g++ -o backends/smt2/smt2.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/intel/ g++ -o techlibs/intel/synth_intel.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/intel_alm/ g++ -o techlibs/intel_alm/synth_intel_alm.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_opt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/lattice_gsr.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/synth_lattice.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/synth_quicklogic.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/nexus/ g++ -o techlibs/nexus/synth_nexus.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' sed -e 's#@CXXFLAGS@#-pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I"/usr/share/yosys/include" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -lpthread -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_types.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/choices cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/common cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/version_a1bb0255d.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_a1bb0255d.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_merge.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/sf2/ g++ -o techlibs/sf2/synth_sf2.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/techmap/ g++ -o passes/techmap/filterlib.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_simd.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/synth_xilinx.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_lexer.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dffopt.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p ./ g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -lpthread -ltclstub8.6 make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p share/quicklogic/qlf_k6n10f cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_parser.tab.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_wrapcarry.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p kernel/ g++ -o kernel/register.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ g++ -o passes/pmgen/test_pmgen.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_dsp.o -c -pipe -frecord-gcc-switches -Wall -g -O2 -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -pipe -frecord-gcc-switches -Wall -g -O2 -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' g++ -o yosys -rdynamic kernel/version_a1bb0255d.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -lpthread -ltclstub8.6 make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' Build successful. make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.66405 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/yosys-buildroot + : + /bin/rm -rf -- /usr/src/tmp/yosys-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games + cd yosys-0.40 + make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/yosys-buildroot PREFIX=/usr ABCEXTERNAL=abc make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' [Makefile.conf] CONFIG := gcc [Makefile.conf] CXXFLAGS += -pipe -frecord-gcc-switches -Wall -g -O2 make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' make: Entering directory '/usr/src/RPM/BUILD/yosys-0.40' mkdir -p /usr/src/tmp/yosys-buildroot/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /usr/src/tmp/yosys-buildroot/usr/bin strip -S /usr/src/tmp/yosys-buildroot/usr/bin/yosys strip /usr/src/tmp/yosys-buildroot/usr/bin/yosys-filterlib mkdir -p /usr/src/tmp/yosys-buildroot/usr/share/yosys cp -r share/. /usr/src/tmp/yosys-buildroot/usr/share/yosys/. make: Leaving directory '/usr/src/RPM/BUILD/yosys-0.40' + mkdir -p /usr/src/tmp/yosys-buildroot//usr/share/man/man1/ /usr/src/tmp/yosys-buildroot//usr/include/ + install -m 644 yosys-config.1 yosys-filterlib.1 yosys-smtbmc.1 yosys.1 /usr/src/tmp/yosys-buildroot//usr/share/man/man1/ + mv /usr/src/tmp/yosys-buildroot/usr/share/yosys/include/ /usr/src/tmp/yosys-buildroot/usr/include/yosys + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/yosys-buildroot (auto) Verifying and fixing files in /usr/src/tmp/yosys-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/yosys-buildroot/ (default) Compressing files in /usr/src/tmp/yosys-buildroot (auto) 056-debuginfo.brp: WARNING: You have 1 stripped ELF objects. Please compile with debugging information! 056-debuginfo.brp: WARNING: An excerpt from the list of affected files follows: ./usr/bin/yosys-filterlib 056-debuginfo.brp: WARNING: 1 non-stripped binaries don't contain .debug sections making -debuginfo 056-debuginfo.brp: WARNING: package less relevant. An excerpt from the list of affected files follows: ./usr/bin/yosys 056-debuginfo.brp: WARNING: debuginfo without debug sources. Verifying ELF objects in /usr/src/tmp/yosys-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal) section [ 6] '.dynsym': symbol 7100 (__stop_yosys_cover_list): symbol in dynamic symbol table with non-default visibility section [ 6] '.dynsym': symbol 8965 (__start_yosys_cover_list): symbol in dynamic symbol table with non-default visibility verify-elf: WARNING: ./usr/bin/yosys: eu-elflint failed Splitting links to aliased files under /{,s}bin in /usr/src/tmp/yosys-buildroot Bytecompiling python3 modules in /usr/src/tmp/yosys-buildroot using /usr/bin/python3 compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/ywio.py Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64/python3/site-packages' Can't list '/usr/src/tmp/yosys-buildroot/usr/lib/python3/site-packages' Bytecompiling python3 modules with optimization in /usr/src/tmp/yosys-buildroot using /usr/bin/python3 -O Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64/python3/site-packages' compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/ywio.py Can't list '/usr/src/tmp/yosys-buildroot/usr/lib/python3/site-packages' Bytecompiling python3 modules with optimization-2 in /usr/src/tmp/yosys-buildroot using /usr/bin/python3 -OO compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py compile /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/ywio.py Can't list '/usr/src/tmp/yosys-buildroot/usr/lib64/python3/site-packages' Can't list '/usr/src/tmp/yosys-buildroot/usr/lib/python3/site-packages' Hardlinking identical .pyc and .opt-?.pyc files './usr/share/yosys/python3/__pycache__/smtio.cpython-312.opt-2.pyc' => './usr/share/yosys/python3/__pycache__/smtio.cpython-312.opt-1.pyc' './usr/share/yosys/python3/__pycache__/ywio.cpython-312.opt-2.pyc' => './usr/share/yosys/python3/__pycache__/ywio.cpython-312.opt-1.pyc' Processing files: yosys-0.40-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.EC0TT1 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell,tcl) /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/ywio.py provides python3(python3.ywio)(under non-std path /usr/share/yosys) /usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py provides python3(python3.smtio)(under non-std path /usr/share/yosys) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.W2nJz6 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services,tcl) py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-smtbmc: skipping "sys" lines:[20] py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-smtbmc: "smtio" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-smtbmc: "ywio" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-smtbmc: "smtbmc_incremental" lines:[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[[302]]]]]]]]]]]]]]]]]]]]]]]]]]]]]]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-witness: skipping "sys" lines:[20] py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-witness: skipping "itertools" lines:[20] py3req:/usr/src/tmp/yosys-buildroot/usr/bin/yosys-witness: "ywio" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py: skipping "sys" lines:[19] py3req:/usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py: skipping "time" lines:[25] py3req:/usr/src/tmp/yosys-buildroot/usr/share/yosys/python3/smtio.py: "resource" lines:[[22]]: Ignore for REQ:slight find-requires: FINDPACKAGE-COMMANDS: python3 Provides: python3(python3.smtio) = set:edle, python3(python3.ywio) = set:edle Requires: alanmi-abc, graphviz, python3-module-xdot, /lib64/ld-linux-x86-64.so.2, /usr/bin/env, libc.so.6(GLIBC_2.11)(64bit), libc.so.6(GLIBC_2.15)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.27)(64bit), libc.so.6(GLIBC_2.3)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.32)(64bit), libc.so.6(GLIBC_2.33)(64bit), libc.so.6(GLIBC_2.34)(64bit), libc.so.6(GLIBC_2.38)(64bit), libc.so.6(GLIBC_2.4)(64bit), libc.so.6(GLIBC_2.8)(64bit), libffi.so.8()(64bit) >= set:jg72JScdan6TcnQEkzy, libffi.so.8(LIBFFI_BASE_8.0)(64bit), libgcc_s.so.1(GCC_3.0)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libm.so.6(GLIBC_2.29)(64bit), libm.so.6(GLIBC_2.35)(64bit), libm.so.6(GLIBC_2.38)(64bit), libreadline.so.8()(64bit) >= set:njCJKlFIZEt3XbmS227XIwLqZmmZfZ828r2SjbmTHYqw0, libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(CXXABI_1.3.5)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.14)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.18)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.26)(64bit), libstdc++.so.6(GLIBCXX_3.4.29)(64bit), libstdc++.so.6(GLIBCXX_3.4.32)(64bit), libstdc++.so.6(GLIBCXX_3.4.5)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), libtcl8.6.so()(64bit) >= set:nigFZA8PtxaEWZrfPmLCM4XpAxCvWJrLOkZAvpbP9p88ZyN7rKtoja7U1TnAobrZwFw1YZf2, libz.so.1()(64bit) >= set:kg0Lt9mCu1T4p0JvII4VcXPy1, libz.so.1(ZLIB_1.2.0)(64bit), python3, python3(bisect) < 0, python3(click) < 0, python3(collections) < 0, python3(copy) < 0, python3(functools) < 0, python3(getopt) < 0, python3(json) < 0, python3(os) < 0, python3(queue) < 0, python3(re) < 0, python3(select) < 0, python3(signal) < 0, python3(subprocess) < 0, python3(threading) < 0, rtld(GNU_HASH), rtld(GNU_UNIQUE) Requires(rpmlib): rpmlib(SetVersions) Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Ul9nJn Creating yosys-debuginfo package Processing files: yosys-devel-0.40-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.wdtRvi find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell,tcl) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.sXpWF3 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services,tcl) In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ff.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ff.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ff.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ff.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yw.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yw.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yw.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yw.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fmt.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fmt.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fmt.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fmt.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/log.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/mem.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/mem.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/mem.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/mem.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/cost.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/cost.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/cost.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/cost.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/json.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/json.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/json.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/json.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/macc.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/qcsat.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/qcsat.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/qcsat.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/qcsat.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/utils.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffinit.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffinit.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffinit.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffinit.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/satgen.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/binding.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/binding.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/binding.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/binding.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffinit.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffmerge.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffmerge.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffinit.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffmerge.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/ffmerge.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fstdata.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:23:10: fatal error: map: No such file or directory 23 | #include | ^~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fstdata.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fstdata.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/fstdata.h: cpp failed /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/hashlib.h:15:10: fatal error: stdexcept: No such file or directory 15 | #include | ^~~~~~~~~~~ compilation terminated. cpp.req: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/hashlib.h: cpp failed, trying c++ mode In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/cellaigs.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/cellaigs.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/modtools.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/modtools.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/register.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/register.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/sigtools.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/sigtools.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celledges.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celledges.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celltypes.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/celltypes.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/consteval.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/consteval.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/scopeinfo.h:26: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/scopeinfo.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/timinginfo.h:24: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/timinginfo.h: cpp failed /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/passes/fsm/fsmdata.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/passes/fsm/fsmdata.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/ast/ast.h:32: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/ast/ast.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/rtlil.h:23, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/ast/ast_binding.h:32: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/ast/ast_binding.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/blif/blifparse.h:23: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/frontends/blif/blifparse.h: cpp failed In file included from /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys.h:42, from /usr/src/tmp/yosys-buildroot/usr/include/yosys/backends/rtlil/rtlil_backend.h:28: /usr/src/tmp/yosys-buildroot/usr/include/yosys/kernel/yosys_common.h:58:4: error: #error It looks like you are trying to build Yosys without the config defines set. When building Yosys with a custom make system, make sure you set all the defines the Yosys Makefile would set for your build configuration. 58 | # error It looks like you are trying to build Yosys without the config defines set. \ | ^~~~~ cpp.req: WARNING: /usr/src/tmp/yosys-buildroot/usr/include/yosys/backends/rtlil/rtlil_backend.h: cpp failed find-requires: FINDPACKAGE-COMMANDS: bash fmt sed Requires: /usr/bin/env, bash, coreutils, sed, zlib-devel Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.PCBqJ0 Processing files: yosys-debuginfo-0.40-alt1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.JEOumX find-provides: running scripts (debuginfo) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.uZMJ8x find-requires: running scripts (debuginfo) Requires: yosys = 0.40-alt1, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libc.so.6), debug64(libffi.so.8), debug64(libgcc_s.so.1), debug64(libm.so.6), debug64(libreadline.so.8), debug64(libstdc++.so.6), debug64(libtcl8.6.so), debug64(libz.so.1) Adding to yosys-debuginfo a strict dependency on yosys Wrote: /usr/src/RPM/RPMS/x86_64/yosys-0.40-alt1.x86_64.rpm (w2T8.xzdio) Wrote: /usr/src/RPM/RPMS/x86_64/yosys-devel-0.40-alt1.x86_64.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/x86_64/yosys-debuginfo-0.40-alt1.x86_64.rpm (w2.lzdio) 1238.23user 60.12system 4:40.07elapsed 463%CPU (0avgtext+0avgdata 806516maxresident)k 0inputs+0outputs (0major+20833383minor)pagefaults 0swaps 7.68user 2.31system 5:02.01elapsed 3%CPU (0avgtext+0avgdata 135460maxresident)k 0inputs+0outputs (0major+282509minor)pagefaults 0swaps --- yosys-0.40-alt1.x86_64.rpm.repo 2024-04-15 10:19:54.000000000 +0000 +++ yosys-0.40-alt1.x86_64.rpm.hasher 2024-05-04 04:35:56.073760831 +0000 @@ -340,4 +340,4 @@ Provides: yosys = 0.40-alt1:sisyphus+345174.100.1.1 -File: /usr/bin/yosys 100755 root:root 3bda146daca1bc99f2db73f89f971da6 -File: /usr/bin/yosys-filterlib 100755 root:root 9595ba86cafd154f282d5f35cd70792e +File: /usr/bin/yosys 100755 root:root 8daf659dad2383c05300f81c73aabcf0 +File: /usr/bin/yosys-filterlib 100755 root:root 6af3bb84387051d8b4703ab026893a72 File: /usr/bin/yosys-smtbmc 100755 root:root 8d7c018db864128af02b095b21e7de54 @@ -561,3 +561,3 @@ File: /usr/share/yosys/quicklogic/qlf_k6n10f/arith_map.v 100644 root:root c4a3e96a32259d589a32af3e6fd10de8 -File: /usr/share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v 100644 root:root 76d92aacb193539fa5b62bfac92e3587 +File: /usr/share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v 100644 root:root 78a64f79166bd2e94223e66c223417ad File: /usr/share/yosys/quicklogic/qlf_k6n10f/brams_map.v 100644 root:root e6bda290dcd3df4ea2c184832d46152e @@ -616,2 +616,2 @@ File: /usr/share/yosys/xilinx/xcu_dsp_map.v 100644 root:root b82392bbd68fd56f577c0ab8b9d2b46f -RPMIdentity: 50d99716e679c134124d843b3b3518b458879b960ef5601025ce22cc042b592d760a73c6aa56fda9e74ac9d13c7b6f22d3132074253e3f2f9d27630e07ddad9f +RPMIdentity: cbb4a25d54eed94b5dec35db0e9fba97eb4e5186c76005eb15a06a45dd52fd246ec7e446c38f3d9a0058053d9169caca8258be3685e3659d764dc4c1e17b44b5 --- yosys-debuginfo-0.40-alt1.x86_64.rpm.repo 2024-04-15 10:19:55.000000000 +0000 +++ yosys-debuginfo-0.40-alt1.x86_64.rpm.hasher 2024-05-04 04:35:56.156761687 +0000 @@ -1,4 +1,4 @@ -/usr/lib/debug/.build-id/4e 40755 root:root -/usr/lib/debug/.build-id/4e/3cc9ae94e3ea36b952bad0ca795a98fc6d9f4e 120777 root:root ../../../../bin/yosys -/usr/lib/debug/.build-id/4e/3cc9ae94e3ea36b952bad0ca795a98fc6d9f4e.debug 120777 root:root ../../usr/bin/yosys.debug +/usr/lib/debug/.build-id/80 40755 root:root +/usr/lib/debug/.build-id/80/1c18dbf5e963d7b1c74d2ec8b20ab3074cdc88 120777 root:root ../../../../bin/yosys +/usr/lib/debug/.build-id/80/1c18dbf5e963d7b1c74d2ec8b20ab3074cdc88.debug 120777 root:root ../../usr/bin/yosys.debug /usr/lib/debug/usr/bin/yosys.debug 100644 root:root @@ -16,6 +16,6 @@ Provides: yosys-debuginfo = 0.40-alt1:sisyphus+345174.100.1.1 -File: /usr/lib/debug/.build-id/4e 40755 root:root -File: /usr/lib/debug/.build-id/4e/3cc9ae94e3ea36b952bad0ca795a98fc6d9f4e 120777 root:root ../../../../bin/yosys -File: /usr/lib/debug/.build-id/4e/3cc9ae94e3ea36b952bad0ca795a98fc6d9f4e.debug 120777 root:root ../../usr/bin/yosys.debug -File: /usr/lib/debug/usr/bin/yosys.debug 100644 root:root f6efcb91b83656a008745b973ffb1179 -RPMIdentity: 0198dfaeffd41b22f4121d4d314d54296f46149e4fefea1a94f9680d2f8df0059b92a62fff347c5a656629389c33a48da5cfb14a463ed30f47afb8e37a94a1a3 +File: /usr/lib/debug/.build-id/80 40755 root:root +File: /usr/lib/debug/.build-id/80/1c18dbf5e963d7b1c74d2ec8b20ab3074cdc88 120777 root:root ../../../../bin/yosys +File: /usr/lib/debug/.build-id/80/1c18dbf5e963d7b1c74d2ec8b20ab3074cdc88.debug 120777 root:root ../../usr/bin/yosys.debug +File: /usr/lib/debug/usr/bin/yosys.debug 100644 root:root 7aae50f3b5e78d07a9626341fd63c2b8 +RPMIdentity: c764473e976a07965209e0d2a1cfcb3e269aade126e3af50a3f7f7f9956bc7c7538a1341d34dfa00bd6dd9c7ba201305d39b33bcdb93b39ad0302b92e5655899