<86>May 4 03:06:04 userdel[3660854]: delete user 'rooter' <86>May 4 03:06:04 userdel[3660854]: removed group 'rooter' owned by 'rooter' <86>May 4 03:06:04 userdel[3660854]: removed shadow group 'rooter' owned by 'rooter' <86>May 4 03:06:04 groupadd[3660864]: group added to /etc/group: name=rooter, GID=1796 <86>May 4 03:06:04 groupadd[3660864]: group added to /etc/gshadow: name=rooter <86>May 4 03:06:04 groupadd[3660864]: new group: name=rooter, GID=1796 <86>May 4 03:06:04 useradd[3660871]: new user: name=rooter, UID=1796, GID=1796, home=/root, shell=/bin/bash, from=none <86>May 4 03:06:04 userdel[3660883]: delete user 'builder' <86>May 4 03:06:04 userdel[3660883]: removed group 'builder' owned by 'builder' <86>May 4 03:06:04 userdel[3660883]: removed shadow group 'builder' owned by 'builder' <86>May 4 03:06:04 groupadd[3660894]: group added to /etc/group: name=builder, GID=1797 <86>May 4 03:06:04 groupadd[3660894]: group added to /etc/gshadow: name=builder <86>May 4 03:06:04 groupadd[3660894]: new group: name=builder, GID=1797 <86>May 4 03:06:04 useradd[3660900]: new user: name=builder, UID=1797, GID=1797, home=/usr/src, shell=/bin/bash, from=none <13>May 4 03:06:12 rpmi: libpng16-1.6.42-alt2 sisyphus+339555.100.1.1 1706781682 installed <13>May 4 03:06:12 rpmi: libjpeg-2:3.0.2-alt2.1 sisyphus+340135.100.1.1 1707449145 installed <13>May 4 03:06:12 rpmi: libexpat-2.5.0-alt1 sisyphus+309227.100.1.1 1667075764 installed <13>May 4 03:06:12 rpmi: perl-HTTP-Date-6.06-alt1 sisyphus+324527.100.1.1 1688834564 installed <13>May 4 03:06:12 rpmi: perl-Unicode-Normalize-1:5.38.2-alt0.2 sisyphus+344512.40.2.1 1712358015 installed <13>May 4 03:06:12 rpmi: perl-Term-ANSIColor-5.01-alt1 sisyphus+244783.100.1.2 1579747505 installed <13>May 4 03:06:12 rpmi: libidn2-2.3.7-alt1 sisyphus+339505.100.1.2 1706718968 installed <13>May 4 03:06:12 rpmi: libnettle8-3.9.1-alt1 sisyphus+322548.100.1.2 1686176879 installed <13>May 4 03:06:12 rpmi: less-633-alt1 sisyphus+328181.300.2.1 1693321749 installed <13>May 4 03:06:12 rpmi: tex-common-0.2-alt4 sisyphus+276869.100.1.1 1625246366 installed <13>May 4 03:06:12 rpmi: perl-Tie-RefHash-1.40-alt1 sisyphus+260329.100.1.1 1603548550 installed <13>May 4 03:06:12 rpmi: perl-IO-Stringy-2.113-alt1 sisyphus+289915.300.2.1 1637124493 installed <13>May 4 03:06:12 rpmi: perl-IO-Socket-IP-0.42-alt1 sisyphus+325815.100.1.2 1690571193 installed <13>May 4 03:06:12 rpmi: perl-LWP-MediaTypes-6.04-alt1 sisyphus+225468.100.1.1 1553186684 installed <13>May 4 03:06:12 rpmi: perl-libnet-1:3.15-alt1 sisyphus+317310.100.1.1 1679580208 installed <13>May 4 03:06:12 rpmi: perl-HTML-Tagset-3.24-alt1 sisyphus+343117.100.1.3 1710883587 installed <13>May 4 03:06:12 rpmi: perl-Compress-Raw-Zlib-2.206-alt1 sisyphus+335067.600.1.1 1700901499 installed <13>May 4 03:06:12 rpmi: libtcl-8.6.13-alt1 sisyphus+310696.100.1.1 1669548266 installed <13>May 4 03:06:12 rpmi: libnspr-1:4.35-alt1 sisyphus+308164.100.1.1 1665397040 installed <13>May 4 03:06:12 rpmi: liblcms2-2.16-alt1 sisyphus+335676.100.1.1 1701682597 installed <13>May 4 03:06:12 rpmi: libsqlite3-3.44.2-alt1 sisyphus+335409.100.3.3 1701347810 installed <13>May 4 03:06:12 rpmi: libgdbm-1.8.3-alt10 sisyphus+278100.1600.1.1 1626058413 installed <13>May 4 03:06:12 rpmi: libpixman-3:0.43.4-alt2 sisyphus+344164.100.1.1 1711987977 installed <13>May 4 03:06:12 rpmi: libassuan-2.5.6-alt1 sisyphus+327218.300.1.1 1692093921 installed <13>May 4 03:06:12 rpmi: libxxhash-0.8.2-alt1 sisyphus+336514.200.7.1 1702672118 installed <13>May 4 03:06:12 rpmi: libICE-1.1.1-alt1 sisyphus+311428.500.1.1 1670577555 installed <13>May 4 03:06:12 rpmi: libwebp7-1.4.0-alt1 sisyphus+345159.200.1.1 1713168663 installed <13>May 4 03:06:12 rpmi: libpaper2-2:2.1.3-alt1 sisyphus+340967.100.1.1 1708276732 installed <13>May 4 03:06:12 rpmi: libopenjpeg2.0-2.5.2-alt1 sisyphus+341637.100.1.1 1709150017 installed <13>May 4 03:06:12 rpmi: liblz4-1:1.9.4-alt1 sisyphus+309416.100.1.1 1667412981 installed <13>May 4 03:06:12 rpmi: libbrotlicommon-1.1.0-alt1 sisyphus+328501.100.1.1 1693598419 installed <13>May 4 03:06:12 rpmi: libbrotlidec-1.1.0-alt1 sisyphus+328501.100.1.1 1693598419 installed <13>May 4 03:06:12 rpmi: libbrotlienc-1.1.0-alt1 sisyphus+328501.100.1.1 1693598419 installed <13>May 4 03:06:12 rpmi: libgraphite2-1.3.14-alt2.1 sisyphus+279571.100.1.2 1626605111 installed <13>May 4 03:06:12 rpmi: libharfbuzz-8.4.0-alt1 sisyphus+344161.100.1.1 1711987962 installed <13>May 4 03:06:12 rpmi: libfreetype-2.13.2-alt1 sisyphus+328677.100.1.1 1693834345 installed <13>May 4 03:06:12 rpmi: libfontconfig1-2.14.2-alt8 sisyphus+328444.100.1.1 1693553402 installed <13>May 4 03:06:12 rpmi: libp11-kit-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645560 installed <13>May 4 03:06:12 rpmi: libtasn1-4.19.0-alt3 sisyphus+327816.100.1.1 1692802615 installed <13>May 4 03:06:12 rpmi: libwoff2-1.0.2-alt3 sisyphus+302729.100.1.1 1656351540 installed <13>May 4 03:06:12 rpmi: libsystemd-1:254.10-alt2 sisyphus+345302.400.3.1 1713818564 installed <13>May 4 03:06:12 rpmi: libdbus-1.14.10-alt1 sisyphus+327286.5700.14.1 1711487401 installed <13>May 4 03:06:12 rpmi: libavahi-0.8-alt4 sisyphus+344258.100.1.1 1712133856 installed <13>May 4 03:06:12 rpmi: libSM-1.2.4-alt1 sisyphus+312057.200.1.1 1671526916 installed <13>May 4 03:06:13 rpmi: tcl-8.6.13-alt1 sisyphus+310696.100.1.1 1669548266 installed <13>May 4 03:06:13 rpmi: perl-OLE-Storage_Lite-0.22-alt1 sisyphus+315433.100.1.1 1676717427 installed <13>May 4 03:06:13 rpmi: perl-autodie-2.37-alt1 sisyphus+337625.100.1.1 1704230186 installed <13>May 4 03:06:13 rpmi: libhogweed6-3.9.1-alt1 sisyphus+322548.100.1.2 1686176879 installed <13>May 4 03:06:13 rpmi: libgnutls30-3.8.4-alt1 sisyphus+343729.100.2.1 1711571288 installed <13>May 4 03:06:13 rpmi: libngtcp2.16-1.4.0-alt1 sisyphus+343912.100.1.1 1711714683 installed <13>May 4 03:06:13 rpmi: libngtcp2_crypto_gnutls8-1.4.0-alt1 sisyphus+343912.100.1.1 1711714683 installed <13>May 4 03:06:13 rpmi: perl-Data-Dump-1.25-alt1 sisyphus+276551.100.1.1 1625126880 installed <13>May 4 03:06:13 rpmi: perl-unicore-1:5.38.2-alt0.2 sisyphus+344512.40.2.1 1712358015 installed <13>May 4 03:06:13 rpmi: perl-Net-IDN-Encode-2.500-alt2 sisyphus+335067.2600.1.1 1700901862 installed <13>May 4 03:06:13 rpmi: perl-File-Listing-6.16-alt1 sisyphus+325783.100.1.1 1690559356 installed <13>May 4 03:06:13 rpmi: groff-base-1.22.3-alt2 sisyphus+275306.100.2.1 1624538375 installed <13>May 4 03:06:13 rpmi: zziplib-0.13.72-alt1 sisyphus+278032.100.1.2 1625982008 installed <13>May 4 03:06:13 rpmi: t1utils-1.42-alt1 sisyphus+278458.100.1.1 1626102085 installed <13>May 4 03:06:13 rpmi: ttf2pt1-3.4.4-alt1.qa1 sisyphus+275192.100.2.1 1624905844 installed <13>May 4 03:06:13 rpmi: python-sphinx-objects.inv-1:2.3.13.20240422-alt1 sisyphus+347146.100.1.1 1714369158 installed <13>May 4 03:06:13 rpmi: perl-X11-Protocol-0.56-alt1.1 1290621401 installed <13>May 4 03:06:13 rpmi: perl-TimeDate-2.33-alt1 sisyphus+252901.100.1.1 1591387378 installed <13>May 4 03:06:13 rpmi: perl-Text-Unidecode-1.30-alt1 1480505027 installed <13>May 4 03:06:13 rpmi: perl-Unicode-Map-0.112-alt8 sisyphus+335067.5100.2.2 1701151359 installed <13>May 4 03:06:13 rpmi: perl-Math-Complex-1.59-alt1 1334229876 installed <13>May 4 03:06:13 rpmi: perl-Locale-Maketext-Simple-0.21-alt3 1321333616 installed <13>May 4 03:06:13 rpmi: perl-IPC-System-Simple-1.30-alt1 sisyphus+248544.100.1.1 1585154078 installed <13>May 4 03:06:13 rpmi: perl-IPC-Run3-0.049-alt1 sisyphus+343743.100.2.1 1711555032 installed <13>May 4 03:06:13 rpmi: psutils-2:2.10-alt2 sisyphus+336930.2400.2.1 1703147004 installed <13>May 4 03:06:13 rpmi: perl-Try-Tiny-0.31-alt1 sisyphus+290597.100.1.1 1637915507 installed <13>May 4 03:06:13 rpmi: perl-Module-Load-0.36-alt1 sisyphus+258992.100.1.1 1601543407 installed <13>May 4 03:06:13 rpmi: perl-IO-Compress-Brotli-2:0.004001-alt3 sisyphus+335067.1200.1.1 1700901589 installed <13>May 4 03:06:13 rpmi: perl-File-Which-1.27-alt1 sisyphus+271986.100.1.1 1621196035 installed <13>May 4 03:06:13 rpmi: perl-File-Copy-Recursive-0.45-alt1 sisyphus+235291.100.1.1 1564606222 installed <13>May 4 03:06:13 rpmi: perl-Digest-SHA1-2.13-alt5.2 sisyphus+335067.4700.2.2 1701151286 installed <13>May 4 03:06:13 rpmi: perl-Digest-Perl-MD5-1.9-alt1 1394057208 installed <13>May 4 03:06:13 rpmi: perl-Crypt-RC4-2.02-alt1 1319549646 installed <13>May 4 03:06:13 rpmi: perl-Clone-0.46-alt1 sisyphus+335067.1100.1.1 1700901562 installed <13>May 4 03:06:13 rpmi: perl-Term-Cap-1.18-alt1 sisyphus+315126.100.1.1 1676227129 installed <13>May 4 03:06:13 rpmi: perl-Pod-Escapes-1.07-alt1 1418767892 installed <13>May 4 03:06:13 rpmi: perl-IO-String-1.08-alt2 1321677915 installed <13>May 4 03:06:13 rpmi: perl-Compress-Raw-Bzip2-2.210-alt1 sisyphus+343108.100.1.3 1710881036 installed <13>May 4 03:06:13 rpmi: perl-Algorithm-Diff-1:1.201-alt1 sisyphus+263447.100.1.1 1607956595 installed <13>May 4 03:06:13 rpmi: libtexlua5-2022-alt0_10 sisyphus+342516.200.3.2 1710234717 installed <13>May 4 03:06:13 rpmi: libteckit-2.5.1-alt2.1 sisyphus+275250.100.2.1 1624906328 installed <13>May 4 03:06:13 rpmi: libteckit-utils-2.5.1-alt2.1 sisyphus+275250.100.2.1 1624906328 installed <13>May 4 03:06:13 rpmi: libsynctex2-2022-alt0_10 sisyphus+342516.200.3.2 1710234717 installed <13>May 4 03:06:13 rpmi: libqqwing-1.3.4-alt2 sisyphus+275255.100.2.1 1624562960 installed <13>May 4 03:06:13 rpmi: qqwing-1.3.4-alt2 sisyphus+275255.100.2.1 1624562960 installed <13>May 4 03:06:13 rpmi: libpotrace-1.16-alt2 sisyphus+328401.100.1.1 1693483624 installed <13>May 4 03:06:13 rpmi: poppler-data-0.4.12-alt1 sisyphus+322151.100.1.1 1685438511 installed <13>May 4 03:06:13 rpmi: libpipeline-1.5.7-alt1_1 sisyphus+312433.100.1.1 1671879773 installed <13>May 4 03:06:13 rpmi: man-db-2.12.0-alt1 sisyphus+336930.2100.1.1 1703107121 installed <13>May 4 03:06:13 rpmi: libmpdec3-2.5.1-alt3 sisyphus+314490.500.5.1 1675432004 installed <13>May 4 03:06:13 rpmi: liblua5.4-5.4.6-alt1 sisyphus+342744.200.2.1 1710617890 installed <13>May 4 03:06:13 rpmi: lua5.4-5.4.6-alt1 sisyphus+342744.200.2.1 1710617890 installed <13>May 4 03:06:13 rpmi: libkpathsea6-2022-alt0_10 sisyphus+342516.200.3.2 1710234717 installed <13>May 4 03:06:13 rpmi: libptexenc1-2022-alt0_10 sisyphus+342516.200.3.2 1710234717 installed <13>May 4 03:06:14 rpmi: libicu74-1:7.4.2-alt1 sisyphus+336372.200.1.1 1702423005 installed <13>May 4 03:06:14 rpmi: libusb-1.0.27-alt1 sisyphus+347412.100.1.1 1714739651 installed <13>May 4 03:06:14 rpmi: libreadline7-7.0.3-alt5 sisyphus+328858.200.1.1 1694010737 installed <13>May 4 03:06:14 rpmi: libnpth-1.6.0.20.g7e45b50-alt3 sisyphus+278566.100.1.1 1626114690 installed <13>May 4 03:06:14 rpmi: libksba-1.6.4-alt1 sisyphus+327218.400.1.1 1692093956 installed <13>May 4 03:06:14 rpmi: libnuma-2.0.14-alt2 sisyphus+278485.100.1.1 1626104244 installed <13>May 4 03:06:14 rpmi: libx265-199-3.5-alt1.1 sisyphus+277560.100.1.1 1625697230 installed <13>May 4 03:06:14 rpmi: desktop-file-utils-0.26-alt6 sisyphus+331944.100.1.3 1697550026 installed <13>May 4 03:06:14 rpmi: shared-mime-info-2.4-alt1 sisyphus+334259.100.1.1 1699787316 installed <13>May 4 03:06:14 rpmi: libgdk-pixbuf-locales-2.42.11-alt1 sisyphus+345586.100.1.1 1713719119 installed <13>May 4 03:06:14 rpmi: libde265-1.0.15-alt1 sisyphus+337190.100.1.1 1703502096 installed <13>May 4 03:06:14 rpmi: libb2-0.98.1-alt1_1 sisyphus+291614.100.1.1 1638962877 installed <13>May 4 03:06:14 rpmi: libaom3-3.5.0-alt2 sisyphus+335780.100.1.1 1701761281 installed <13>May 4 03:06:14 rpmi: perl-Filter-1.64-alt1 sisyphus+335067.400.1.1 1700901412 installed <13>May 4 03:06:14 rpmi: perl-Encode-3.21-alt1 sisyphus+343095.100.1.1 1710875477 installed <13>May 4 03:06:14 rpmi: perl-URI-5.27-alt1 sisyphus+340901.100.1.1 1708180683 installed <13>May 4 03:06:14 rpmi: perl-IO-Compress-2.206-alt1 sisyphus+325819.100.1.1 1690563199 installed <13>May 4 03:06:14 rpmi: perl-HTML-Parser-3.82-alt1 sisyphus+343116.100.1.3 1710883258 installed <13>May 4 03:06:14 rpmi: perl-Net-HTTP-6.23-alt1 sisyphus+323470.100.1.1 1687422469 installed <13>May 4 03:06:14 rpmi: perl-IO-Zlib-1.15-alt1 sisyphus+343119.100.1.3 1710884191 installed <13>May 4 03:06:14 rpmi: perl-WWW-RobotRules-6.02-alt1 1329756211 installed <13>May 4 03:06:14 rpmi: perl-Locale-gettext-1.07-alt1.2 sisyphus+335067.10500.2.2 1701154168 installed <13>May 4 03:06:14 rpmi: perl-Pod-Simple-3.45-alt1 sisyphus+321554.100.1.1 1684663135 installed <13>May 4 03:06:14 rpmi: perl-Pod-Usage-2.03-alt1 sisyphus+300436.100.1.3 1653292807 installed <13>May 4 03:06:14 rpmi: perl-podlators-5.01-alt1 sisyphus+312638.100.1.1 1672339228 installed <13>May 4 03:06:14 rpmi: perl-Archive-Tar-3.02-alt1 sisyphus+318647.100.1.1 1681742479 installed <13>May 4 03:06:14 rpmi: perl-Encode-Locale-1.05-alt1 1444608613 installed <13>May 4 03:06:14 rpmi: perl-IO-HTML-1.004-alt1 sisyphus+258983.100.1.1 1601542619 installed <13>May 4 03:06:14 rpmi: perl-HTTP-Message-6.45-alt1 sisyphus+330728.100.1.1 1696162276 installed <13>May 4 03:06:14 rpmi: perl-HTML-Form-6.11-alt1 sisyphus+315122.100.1.1 1676226686 installed <13>May 4 03:06:14 rpmi: perl-HTTP-Negotiate-6.01-alt1 1329760563 installed <13>May 4 03:06:14 rpmi: perl-libwww-6.77-alt1 sisyphus+343120.100.1.2 1710884405 installed <13>May 4 03:06:14 rpmi: perl-HTML-Tree-5.07-alt1 sisyphus+277105.100.1.1 1625402682 installed <13>May 4 03:06:14 rpmi: perl-HTML-Formatter-2.16-alt2 sisyphus+306600.100.1.1 1663005434 installed <13>May 4 03:06:14 rpmi: perl-XML-Parser-2.47-alt1 sisyphus+337612.100.1.1 1704201438 installed <13>May 4 03:06:14 rpmi: perl-XML-Twig-3.52-alt1 sisyphus+277116.100.1.2 1625410693 installed <13>May 4 03:06:14 rpmi: perl-Net-DBus-1.2.0-alt1 sisyphus+335067.4300.2.2 1701150369 installed <13>May 4 03:06:14 rpmi: perl-XML-XPath-1.48-alt1 sisyphus+305097.100.1.2 1660226797 installed <13>May 4 03:06:14 rpmi: perl-HTTP-Cookies-6.11-alt1 sisyphus+336115.100.1.1 1702044494 installed <13>May 4 03:06:14 rpmi: perl-WWW-Mechanize-2.18-alt1 sisyphus+339965.100.1.3 1707245616 installed <13>May 4 03:06:14 rpmi: perl-Text-CSV_XS-1.53-alt1 sisyphus+335870.100.1.1 1701794985 installed <13>May 4 03:06:14 rpmi: perl-Spreadsheet-ParseExcel-1:0.66-alt1 sisyphus+337637.100.1.1 1704230912 installed <13>May 4 03:06:14 rpmi: hd2u-1.0.3-alt2 sisyphus+275312.100.2.1 1624906676 installed <13>May 4 03:06:14 rpmi: gsettings-desktop-schemas-data-46.0-alt1 sisyphus+343025.100.4.2 1710847127 installed <13>May 4 03:06:14 rpmi: libgio-2.80.0-alt2.1 sisyphus+343856.100.1.1 1711642821 installed <13>May 4 03:06:14 rpmi: gsettings-desktop-schemas-46.0-alt1 sisyphus+343025.100.4.2 1710847127 installed <13>May 4 03:06:14 rpmi: gpgme-common-1.23.2-alt3 sisyphus+347209.100.1.1 1714473066 installed <13>May 4 03:06:14 rpmi: publicsuffix-list-dafsa-20240415-alt1 sisyphus+345249.100.1.1 1713270235 installed <13>May 4 03:06:14 rpmi: libpsl-0.21.5-alt1 sisyphus+338474.100.1.1 1705684769 installed <13>May 4 03:06:14 rpmi: libnghttp3.9-1.2.0-alt1 sisyphus+343912.200.1.1 1711714725 installed <13>May 4 03:06:14 rpmi: libnghttp2-1.61.0-alt1 sisyphus+344450.100.1.1 1712304117 installed <13>May 4 03:06:14 rpmi: openldap-common-2.6.7-alt1 sisyphus+343335.200.1.1 1711113916 installed <13>May 4 03:06:14 rpmi: libntlm-1.5-alt1 sisyphus+278100.3300.1.1 1626058899 installed <13>May 4 03:06:14 rpmi: libidn-1.37-alt2 sisyphus+300849.100.1.1 1653769687 installed <13>May 4 03:06:14 rpmi: libedit3-3.1.20230828-alt1 sisyphus+330914.200.3.1 1696922743 installed <13>May 4 03:06:14 rpmi: libX11-locales-3:1.8.8-alt1 sisyphus+343583.100.1.1 1711440719 installed <13>May 4 03:06:14 rpmi: libXdmcp-1.1.5-alt1 sisyphus+343583.700.1.1 1711441061 installed <13>May 4 03:06:14 rpmi: libXau-1.0.11-alt1 sisyphus+311428.100.1.1 1670577439 installed <13>May 4 03:06:14 rpmi: libxcb-1.17.0-alt1 sisyphus+345308.200.1.1 1713342004 installed <13>May 4 03:06:14 rpmi: libX11-3:1.8.8-alt1 sisyphus+343583.100.1.1 1711440719 installed <13>May 4 03:06:14 rpmi: libXt-1.3.0-alt1 sisyphus+331490.500.1.1 1697023310 installed <13>May 4 03:06:14 rpmi: libXext-1.3.6-alt1 sisyphus+343583.1000.1.1 1711441101 installed <13>May 4 03:06:14 rpmi: libXmu-1.2.0-alt1 sisyphus+343583.1200.1.1 1711441172 installed <13>May 4 03:06:14 rpmi: libXpm-3.5.17-alt1 sisyphus+330921.100.1.1 1696400257 installed <13>May 4 03:06:14 rpmi: libXrender-0.9.11-alt1 sisyphus+308841.100.1.1 1666436130 installed <13>May 4 03:06:14 rpmi: libXft-2.3.8-alt1 sisyphus+331490.400.1.1 1697023269 installed <13>May 4 03:06:14 rpmi: libcairo-1:1.18.0-alt1 sisyphus+330227.100.1.1 1695539090 installed <13>May 4 03:06:14 rpmi: libtk-8.6.13-alt1 sisyphus+310696.200.1.1 1669548530 installed <13>May 4 03:06:14 rpmi: tk-8.6.13-alt1 sisyphus+310696.200.1.1 1669548530 installed <13>May 4 03:06:14 rpmi: perl-Tk-804.036-alt1 sisyphus+335067.4600.2.2 1701151239 installed <13>May 4 03:06:14 rpmi: libXaw-1.0.16-alt1 sisyphus+343583.400.1.1 1711440833 installed <13>May 4 03:06:14 rpmi: xset-1.2.4-alt1 sisyphus+275497.100.2.2 1624918317 installed <13>May 4 03:06:14 rpmi: xprop-1.2.5-alt1 sisyphus+279025.100.1.1 1626354198 installed <13>May 4 03:06:14 rpmi: xdg-utils-1.1.3-alt12 sisyphus+303279.300.3.1 1657254392 installed <13>May 4 03:06:14 rpmi: libdeflate-1.20-alt1 sisyphus+343488.100.1.1 1711323991 installed <13>May 4 03:06:14 rpmi: libtiff5-4.4.0-alt4 sisyphus+322581.100.1.2 1686180089 installed <13>May 4 03:06:14 rpmi: libgdk-pixbuf-2.42.11-alt1 sisyphus+345586.100.1.1 1713719119 installed <13>May 4 03:06:15 rpmi: libheif-1.17.6-alt1 sisyphus+337190.200.1.1 1703502141 installed <13>May 4 03:06:15 rpmi: libgd3-2.3.3-alt2 sisyphus+335387.100.1.1 1701278641 installed <13>May 4 03:06:15 rpmi: libverto-0.3.2-alt1_1 sisyphus+321176.2200.10.2 1684803947 installed <13>May 4 03:06:15 rpmi: liblmdb-0.9.32-alt1 sisyphus+342426.100.1.1 1710124288 installed <13>May 4 03:06:15 rpmi: libkeyutils-1.6.3-alt1 sisyphus+266061.100.1.1 1612919566 installed <13>May 4 03:06:15 rpmi: libcom_err-1.46.4.0.5.4cda-alt1 sisyphus+283826.100.1.1 1629975345 installed <13>May 4 03:06:15 rpmi: xml-utils-1:2.12.6-alt1 sisyphus+347327.100.1.1 1714660882 installed <13>May 4 03:06:15 rpmi: fontconfig-2.14.2-alt8 sisyphus+328444.100.1.1 1693553402 installed Updating fonts cache: <29>May 4 03:06:16 fontconfig: Updating fonts cache: succeeded [ DONE ] <13>May 4 03:06:16 rpmi: fonts-type1-urw-3:1.0.7pre44-alt3 sisyphus+224082.100.2.1 1552406640 installed <13>May 4 03:06:16 rpmi: gdb-common-14.1.0.56.d739d4fd457-alt1 sisyphus+338901.300.3.1 1706109034 installed <13>May 4 03:06:16 rpmi: gcc-c++-common-1.4.27-alt1 sisyphus+278099.1300.1.1 1626028636 installed <13>May 4 03:06:16 rpmi: libstdc++13-devel-13.2.1-alt3 sisyphus+339335.100.1.1 1706563486 installed <13>May 4 03:06:17 rpmi: gcc13-c++-13.2.1-alt3 sisyphus+339335.100.1.1 1706563486 installed <13>May 4 03:06:17 rpmi: ed-1:0.2-alt10 sisyphus+278100.1100.1.1 1626056843 installed <13>May 4 03:06:17 rpmi: diffstat-1.64-alt1 sisyphus+278100.700.1.1 1626056683 installed <13>May 4 03:06:17 rpmi: libdialog-1.3.20171209-alt2 sisyphus+328094.100.1.1 1693228848 installed <13>May 4 03:06:17 rpmi: dialog-1.3.20171209-alt2 sisyphus+328094.100.1.1 1693228848 installed <13>May 4 03:06:17 rpmi: debuginfod-urls-0.189.0.46.27a8-alt2 sisyphus+339154.300.3.1 1706384981 installed <13>May 4 03:06:17 rpmi: rpm-macros-alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>May 4 03:06:17 rpmi: alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>May 4 03:06:17 rpmi: ca-certificates-2024.02.24-alt1 sisyphus+341362.100.1.1 1708791001 installed <13>May 4 03:06:17 rpmi: ca-trust-0.2.0-alt1 sisyphus+344843.100.1.1 1712743326 installed <13>May 4 03:06:17 rpmi: p11-kit-trust-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645560 installed <13>May 4 03:06:17 rpmi: libcrypto3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982212 installed <13>May 4 03:06:17 rpmi: libssl3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982212 installed <13>May 4 03:06:17 rpmi: python3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232871 installed <13>May 4 03:06:17 rpmi: python3-base-3.12.2-alt1 sisyphus+341702.200.1.1 1709232871 installed <13>May 4 03:06:18 rpmi: python3-module-docutils-0.20.1-alt1 sisyphus+323438.100.1.1 1687370718 installed <86>May 4 03:06:18 groupadd[3686763]: group added to /etc/group: name=_keytab, GID=999 <86>May 4 03:06:18 groupadd[3686763]: group added to /etc/gshadow: name=_keytab <86>May 4 03:06:18 groupadd[3686763]: new group: name=_keytab, GID=999 <13>May 4 03:06:18 rpmi: libkrb5-1.21.2-alt2 sisyphus+338902.100.2.1 1706700934 installed <13>May 4 03:06:18 rpmi: python3-module-Pygments-2.17.2-alt1 sisyphus+334864.100.2.1 1701773787 installed <13>May 4 03:06:18 rpmi: python3-module-urllib3-2:2.2.1-alt1 sisyphus+341073.200.1.1 1708356525 installed <13>May 4 03:06:18 rpmi: python3-module-alabaster-0.7.6-alt4 sisyphus+281697.200.1.1 1627919931 installed <13>May 4 03:06:18 rpmi: libcups-2.4.7-alt2 sisyphus+333092.100.1.1 1698654160 installed <13>May 4 03:06:19 rpmi: libgs-10.01.1-alt2 sisyphus+337968.300.3.1 1705654974 installed <13>May 4 03:06:19 rpmi: ghostscript-common-10.01.1-alt2 sisyphus+337968.300.3.1 1705654974 installed <13>May 4 03:06:19 rpmi: ghostscript-classic-10.01.1-alt2 sisyphus+337968.300.3.1 1705654974 installed <13>May 4 03:06:20 rpmi: ghostscript-10.01.1-alt2 sisyphus+337968.300.3.1 1705654974 installed <13>May 4 03:06:20 rpmi: ghostscript-module-X-10.01.1-alt2 sisyphus+337968.300.3.1 1705654974 installed <13>May 4 03:06:20 rpmi: libgsasl-2.2.0-alt1 sisyphus+333173.100.1.1 1698696954 installed <86>May 4 03:06:20 groupadd[3690733]: group added to /etc/group: name=sasl, GID=998 <86>May 4 03:06:20 groupadd[3690733]: group added to /etc/gshadow: name=sasl <86>May 4 03:06:20 groupadd[3690733]: new group: name=sasl, GID=998 <13>May 4 03:06:20 rpmi: libsasl2-3-2.1.28-alt2 sisyphus+343335.100.1.1 1711112544 installed <13>May 4 03:06:20 rpmi: libldap2-2.6.7-alt1 sisyphus+343335.200.1.1 1711113916 installed <86>May 4 03:06:20 groupadd[3690808]: group added to /etc/group: name=_gnupg, GID=997 <86>May 4 03:06:20 groupadd[3690808]: group added to /etc/gshadow: name=_gnupg <86>May 4 03:06:20 groupadd[3690808]: new group: name=_gnupg, GID=997 <13>May 4 03:06:20 rpmi: gnupg2-2.4.3-alt1 sisyphus+327229.100.1.1 1692102992 installed <13>May 4 03:06:20 rpmi: libgpgme11-1.23.2-alt3 sisyphus+347209.100.1.1 1714473066 installed <13>May 4 03:06:20 rpmi: libgpgmepp6-1.23.2-alt3 sisyphus+347209.100.1.1 1714473066 installed <13>May 4 03:06:20 rpmi: libpython3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232871 installed <13>May 4 03:06:20 rpmi: python3-module-babel-1:2.14.0-alt1.1 sisyphus+342546.1400.3.1 1710867888 installed <13>May 4 03:06:20 rpmi: python3-module-charset-normalizer-3.2.0-alt1 sisyphus+347396.200.2.1 1714733155 installed <13>May 4 03:06:21 rpmi: python3-module-idna-3.7-alt1 sisyphus+345659.100.1.1 1713798399 installed <13>May 4 03:06:21 rpmi: python3-module-requests-2.31.0-alt1 sisyphus+321663.100.2.1 1684917021 installed <13>May 4 03:06:21 rpmi: python3-module-imagesize-1.4.1-alt1 sisyphus+318084.100.1.1 1680697673 installed <13>May 4 03:06:21 rpmi: python3-module-markupsafe-1:2.1.5-alt1 sisyphus+339663.100.1.1 1706899589 installed <13>May 4 03:06:21 rpmi: python3-module-jinja2-3.1.3-alt1 sisyphus+338371.3500.8.1 1706006785 installed <13>May 4 03:06:21 rpmi: python3-module-packaging-24.0-alt1 sisyphus+342487.100.2.1 1710407438 installed <13>May 4 03:06:21 rpmi: python3-module-py3dephell-0.1.0-alt2 sisyphus+328191.600.5.1 1693609196 installed <13>May 4 03:06:21 rpmi: python3-module-snowballstemmer-2.2.0-alt1 sisyphus+319215.100.1.1 1682346633 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-applehelp-1.0.8-alt1 sisyphus+338681.100.1.1 1705971952 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-devhelp-1.0.6-alt1 sisyphus+338681.200.1.1 1705971978 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-jquery-4.1-alt3 sisyphus+339023.100.1.1 1706234262 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-jsmath-1.0.1-alt1 sisyphus+276004.100.1.1 1624811634 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-htmlhelp-2.0.0-alt2 sisyphus+298571.100.1.1 1650103344 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-serializinghtml-1.1.10-alt1 sisyphus+338546.100.3.1 1705871063 installed <13>May 4 03:06:21 rpmi: python3-module-sphinxcontrib-qthelp-1.0.7-alt1 sisyphus+338681.300.1.1 1705972004 installed <13>May 4 03:06:21 rpmi: python3-module-sphinx-1:7.2.6-alt1 sisyphus+338037.100.1.2 1705651927 installed <13>May 4 03:06:21 rpmi: tests-for-installed-python3-pkgs-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>May 4 03:06:21 rpmi: perl-Net-SSLeay-1.94-alt1 sisyphus+340982.100.1.1 1708280650 installed <13>May 4 03:06:21 rpmi: perl-IO-Socket-SSL-2.085-alt1 sisyphus+339115.100.1.1 1706295283 installed <13>May 4 03:06:21 rpmi: perl-Net-HTTPS-6.23-alt1 sisyphus+323470.100.1.1 1687422469 installed <13>May 4 03:06:21 rpmi: perl-LWP-Protocol-https-6.14-alt1 sisyphus+343123.100.1.3 1710885316 installed <13>May 4 03:06:21 rpmi: openssh-common-9.6p1-alt1 sisyphus+338324.200.2.1 1706093654 installed <86>May 4 03:06:21 groupadd[3693004]: group added to /etc/group: name=sshagent, GID=996 <86>May 4 03:06:21 groupadd[3693004]: group added to /etc/gshadow: name=sshagent <86>May 4 03:06:21 groupadd[3693004]: new group: name=sshagent, GID=996 <13>May 4 03:06:21 rpmi: openssh-clients-9.6p1-alt1 sisyphus+338324.200.2.1 1706093654 installed <13>May 4 03:06:21 rpmi: rsync-3.2.7-alt1 sisyphus+325006.2000.1.1 1689497333 installed <13>May 4 03:06:21 rpmi: libssh2-1.11.0-alt2 sisyphus+339356.100.1.1 1706593137 installed <13>May 4 03:06:21 rpmi: libcurl-8.7.1-alt2 sisyphus+345773.100.1.1 1713974723 installed <13>May 4 03:06:21 rpmi: git-core-2.42.1-alt1 sisyphus+333661.100.1.1 1699193182 installed <13>May 4 03:06:21 rpmi: libdebuginfod-0.189.0.46.27a8-alt2 sisyphus+339154.300.3.1 1706384981 installed <13>May 4 03:06:21 rpmi: libnss-3.99-alt1 sisyphus+344230.420.10.1 1712504446 installed <13>May 4 03:06:21 rpmi: libpoppler130-23.08.0-alt4 sisyphus+341496.100.1.1 1709026260 installed <13>May 4 03:06:21 rpmi: poppler-23.08.0-alt4 sisyphus+341496.100.1.1 1709026260 installed <13>May 4 03:06:21 rpmi: foomatic-db-engine-4.0.12-alt1 sisyphus+278189.100.1.1 1626076171 installed <13>May 4 03:06:22 rpmi: texlive-2022-alt0_10 sisyphus+342516.200.3.2 1710234717 installed <13>May 4 03:06:31 rpmi: texlive-collection-basic-2022-alt0_12 sisyphus+342303.200.3.1 1710171325 installed <13>May 4 03:06:33 rpmi: texlive-fonts-sources-2022-alt0_12 sisyphus+342303.200.3.1 1710171325 installed <13>May 4 03:06:33 rpmi: texlive-texmf-2022-alt0_12 sisyphus+342303.200.3.1 1710171325 installed <13>May 4 03:07:08 rpmi: texlive-dist-2022-alt0_12 sisyphus+342303.200.3.1 1710171325 installed <13>May 4 03:07:08 rpmi: gdb-14.1.0.56.d739d4fd457-alt1 sisyphus+338901.300.3.1 1706109034 installed <13>May 4 03:07:08 rpmi: rpm-build-python3-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>May 4 03:07:08 rpmi: python3-module-sphinx-sphinx-build-symlink-0.1-alt1 1375965103 installed <13>May 4 03:07:08 rpmi: python3-module-sphinx_rtd_theme-2.0.0-alt1 sisyphus+343797.100.1.1 1711605252 installed <13>May 4 03:07:08 rpmi: gcc-c++-13-alt1 sisyphus+323337.300.1.1 1687267966 installed <13>May 4 03:07:08 rpmi: help2man-1.48.5-alt1 sisyphus+283596.100.1.1 1629673489 installed <13>May 4 03:07:08 rpmi: flex-2.6.4.0.88.9801-alt2 sisyphus+283727.100.1.1 1629851973 installed egrep: warning: egrep is obsolescent; using grep -E Building target platforms: x86_64 Building for target x86_64 Wrote: /usr/src/in/nosrpm/verilator-5.018-alt1.nosrc.rpm (w1.gzdio) Installing verilator-5.018-alt1.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.98404 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf verilator-5.018 + echo 'Source #0 (verilator-5.018.tar):' Source #0 (verilator-5.018.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/verilator-5.018.tar + cd verilator-5.018 + /bin/chmod -c -Rf u+rwX,go-w . + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.98404 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd verilator-5.018 + autoconf + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto' + export CFLAGS + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto' + export CXXFLAGS + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto' + export FFLAGS + FCFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto' + export FCFLAGS + '[' -n '' ']' ++ printf %s '-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto' ++ sed -r 's/(^|[[:space:]]+)-[^m][^[:space:]]*//g' + ASFLAGS= + export ASFLAGS + export lt_cv_deplibs_check_method=pass_all + lt_cv_deplibs_check_method=pass_all + readlink -e -- ./configure + xargs -ri dirname -- '{}' + xargs -ri find '{}' -type f '(' -name config.sub -or -name config.guess ')' -printf '%h/\n' + sort -u + xargs -rn1 install -pm755 -- /usr/share/gnu-config/config.sub /usr/share/gnu-config/config.guess + configure_runstatedir_flags= + grep -qF runstatedir=DIR ./configure + configure_runstatedir_flags=--runstatedir=/var/run + ./configure --build=x86_64-alt-linux --host=x86_64-alt-linux --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/lib --localstatedir=/var/lib --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-dependency-tracking --disable-silent-rules --runstatedir=/var/run --without-included-gettext configure: WARNING: unrecognized options: --without-included-gettext configuring for Verilator 5.018 2023-10-30 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for x86_64-alt-linux-gcc... x86_64-alt-linux-gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C... yes checking whether x86_64-alt-linux-gcc accepts -g... yes checking for x86_64-alt-linux-gcc option to enable C11 features... none needed checking for x86_64-alt-linux-g++... x86_64-alt-linux-g++ checking whether the compiler supports GNU C++... yes checking whether x86_64-alt-linux-g++ accepts -g... yes checking for x86_64-alt-linux-g++ option to enable C++11 features... none needed checking for a BSD-compatible install... /usr/bin/ginstall -c compiler is x86_64-alt-linux-g++ --version = x86_64-alt-linux-g++ (GCC) 13.2.1 20240128 (ALT Sisyphus 13.2.1-alt3) checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.8.2 checking for ccache... no checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether x86_64-alt-linux-g++ accepts -pg... yes checking whether x86_64-alt-linux-g++ accepts -std=gnu++17... yes checking whether x86_64-alt-linux-g++ accepts -Wextra... yes checking whether x86_64-alt-linux-g++ accepts -Wfloat-conversion... yes checking whether x86_64-alt-linux-g++ accepts -Wlogical-op... yes checking whether x86_64-alt-linux-g++ accepts -Wthread-safety... no checking whether x86_64-alt-linux-g++ accepts -fcoroutines-ts... no checking whether x86_64-alt-linux-g++ accepts -fcoroutines... yes checking whether coroutines are supported by x86_64-alt-linux-g++... yes checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ accepts -Wno-char-subscripts... yes checking whether x86_64-alt-linux-g++ accepts -Wno-null-conversion... no checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-unused... yes checking whether x86_64-alt-linux-g++ accepts -Og... yes checking whether x86_64-alt-linux-g++ accepts -ggdb... yes checking whether x86_64-alt-linux-g++ accepts -gz... yes checking whether x86_64-alt-linux-g++ linker accepts -gz... yes checking whether x86_64-alt-linux-g++ accepts -faligned-new... yes checking whether x86_64-alt-linux-g++ accepts -fbracket-depth=4096... no checking whether x86_64-alt-linux-g++ accepts -fcf-protection=none... yes checking whether x86_64-alt-linux-g++ accepts -mno-cet... no checking whether x86_64-alt-linux-g++ accepts -Qunused-arguments... no checking whether x86_64-alt-linux-g++ accepts -Wno-bool-operation... yes checking whether x86_64-alt-linux-g++ accepts -Wno-c++11-narrowing... no checking whether x86_64-alt-linux-g++ accepts -Wno-constant-logical-operand... no checking whether x86_64-alt-linux-g++ accepts -Wno-non-pod-varargs... no checking whether x86_64-alt-linux-g++ accepts -Wno-overloaded-virtual... yes checking whether x86_64-alt-linux-g++ accepts -Wno-parentheses-equality... no checking whether x86_64-alt-linux-g++ accepts -Wno-shadow... yes checking whether x86_64-alt-linux-g++ accepts -Wno-sign-compare... yes checking whether x86_64-alt-linux-g++ accepts -Wno-tautological-bitwise-compare... no checking whether x86_64-alt-linux-g++ accepts -Wno-uninitialized... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-but-set-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-but-set-variable... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-parameter... yes checking whether x86_64-alt-linux-g++ accepts -Wno-unused-variable... yes checking whether x86_64-alt-linux-g++ linker accepts -mt... no checking whether x86_64-alt-linux-g++ linker accepts -pthread... yes checking whether x86_64-alt-linux-g++ linker accepts -lpthread... yes checking whether x86_64-alt-linux-g++ linker accepts -latomic... yes checking whether x86_64-alt-linux-g++ linker accepts -fuse-ld=mold... no checking whether x86_64-alt-linux-g++ linker accepts -fuse-ld=mold... no checking whether x86_64-alt-linux-g++ linker accepts -static-libgcc... yes checking whether x86_64-alt-linux-g++ linker accepts -static-libstdc++... no checking whether x86_64-alt-linux-g++ linker accepts -Xlinker -gc-sections... yes checking whether x86_64-alt-linux-g++ linker accepts -lpthread... yes checking whether x86_64-alt-linux-g++ linker accepts -lbcrypt... no checking whether x86_64-alt-linux-g++ linker accepts -lpsapi... no checking whether x86_64-alt-linux-g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether x86_64-alt-linux-g++ supports C++11... yes checking for x86_64-alt-linux-g++ precompile header include option... -include checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... no configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_package.h configure: WARNING: unrecognized options: --without-included-gettext Now type 'make' (or sometimes 'gmake') to build Verilator. + make -j16 all info make -C docs html make -C docs verilator.pdf make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018' ------------------------------------------------------------ making verilator in src make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018' make -C src make vl-extract make vl-extract make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src' mkdir -p obj_dbg make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src' mkdir -p obj_opt make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs' make latex make vl-extract make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src' /usr/bin/python3 ./config_rev . >config_rev.h fatal: not a git repository (or any of the parent directories): .git fatal: not a git repository (or any of the parent directories): .git %Warning: No git revision found in config_rev.py make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018' pod2man bin/verilator_coverage verilator_coverage.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018' make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018' pod2man bin/verilator verilator.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018' make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018' help2man --no-info --no-discard-stderr --version-string=- bin/verilator_profcfunc -o verilator_profcfunc.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018' make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018' help2man --no-info --no-discard-stderr --version-string=- bin/verilator_gantt -o verilator_gantt.1 make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018' make[3]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. touch vlcovgen.d make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' Linking ../../bin/verilator_coverage_bin_dbg... x86_64-alt-linux-g++ -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs' sphinx-build -M latex "guide" "_build" -c guide Running Sphinx v7.2.6 /usr/lib/python3/site-packages/sphinxcontrib/htmlhelp/__init__.py:26: RemovedInSphinx80Warning: The alias 'sphinx.util.progress_message' is deprecated, use 'sphinx.util.display.progress_message' instead. Check CHANGES for Sphinx API modifications. from sphinx.util import progress_message making output directory... done building [mo]: targets for 0 po files that are out of date writing output... building [latex]: all documents updating environment: [new config] 30 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 13%] contributors reading sources... [ 17%] copyright reading sources... [ 20%] deprecations reading sources... [ 23%] environment reading sources... [ 27%] example_binary reading sources... [ 30%] example_cc reading sources... [ 33%] example_common_install reading sources... [ 37%] example_dist reading sources... [ 40%] example_sc reading sources... [ 43%] examples reading sources... [ 47%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 63%] executables reading sources... [ 67%] extensions reading sources... [ 70%] faq reading sources... [ 73%] files reading sources... [ 77%] index reading sources... [ 80%] install reading sources... [ 83%] install-cmake reading sources... [ 87%] languages reading sources... [ 90%] overview reading sources... [ 93%] simulating reading sources... [ 97%] verilating reading sources... [100%] warnings looking for now-outdated files... none found pickling environment... done checking consistency... done copying TeX support files... copying TeX support files... done processing verilator.tex... index overview examples example_binary example_cc example_sc example_dist install install-cmake verilating connecting simulating contributing faq languages extensions executables exe_verilator exe_verilator_coverage exe_verilator_gantt exe_verilator_profcfunc exe_sim warnings files environment deprecations contributors changes copyright resolving references... done writing... done copying images... [100%] figures/fig_gantt_min.png build succeeded. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). python3 bin/vl_sphinx_fix _build make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs' make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs' python3 bin/vl_sphinx_fix _build make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs' make -C _build/latex make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs' sphinx-build -M html "guide" "_build" -c guide Running Sphinx v7.2.6 /usr/lib/python3/site-packages/sphinxcontrib/htmlhelp/__init__.py:26: RemovedInSphinx80Warning: The alias 'sphinx.util.progress_message' is deprecated, use 'sphinx.util.display.progress_message' instead. Check CHANGES for Sphinx API modifications. from sphinx.util import progress_message making output directory... done building [mo]: targets for 0 po files that are out of date writing output... building [html]: targets for 30 source files that are out of date updating environment: [new config] 30 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 7%] connecting reading sources... [ 10%] contributing reading sources... [ 13%] contributors reading sources... [ 17%] copyright reading sources... [ 20%] deprecations reading sources... [ 23%] environment reading sources... [ 27%] example_binary reading sources... [ 30%] example_cc reading sources... [ 33%] example_common_install reading sources... [ 37%] example_dist reading sources... [ 40%] example_sc reading sources... [ 43%] examples reading sources... [ 47%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 57%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 63%] executables reading sources... [ 67%] extensions reading sources... [ 70%] faq reading sources... [ 73%] files reading sources... [ 77%] index reading sources... [ 80%] install reading sources... [ 83%] install-cmake reading sources... [ 87%] languages reading sources... [ 90%] overview reading sources... [ 93%] simulating reading sources... [ 97%] verilating reading sources... [100%] warnings looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done copying assets... copying static files... done copying extra files... done done writing output... [ 3%] changes writing output... [ 7%] connecting writing output... [ 10%] contributing writing output... [ 13%] contributors writing output... [ 17%] copyright writing output... [ 20%] deprecations writing output... [ 23%] environment writing output... [ 27%] example_binary writing output... [ 30%] example_cc writing output... [ 33%] example_common_install writing output... [ 37%] example_dist writing output... [ 40%] example_sc writing output... [ 43%] examples writing output... [ 47%] exe_sim writing output... [ 50%] exe_verilator writing output... [ 53%] exe_verilator_coverage writing output... [ 57%] exe_verilator_gantt writing output... [ 60%] exe_verilator_profcfunc writing output... [ 63%] executables writing output... [ 67%] extensions writing output... [ 70%] faq writing output... [ 73%] files writing output... [ 77%] index writing output... [ 80%] install writing output... [ 83%] install-cmake writing output... [ 87%] languages writing output... [ 90%] overview writing output... [ 93%] simulating writing output... [ 97%] verilating writing output... [100%] warnings generating indices... done writing additional pages... search done copying images... [100%] figures/fig_gantt_min.png dumping search index in English (code: en)... done dumping object inventory... done build succeeded. The HTML pages are in _build/html. python3 bin/vl_sphinx_fix _build make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' Compile flags: x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt' make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs/_build/latex' latexmk -pdf -dvi- -ps- 'verilator.tex' Rc files read: latexmkrc Latexmk: This is Latexmk, John Collins, 17 Mar. 2022. Version 4.77, version: 4.77. Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): verilator.tex Rule 'pdflatex': The following rules & subrules became out-of-date: pdflatex ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Mageia) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2021-11-15> patch level 1 L3 programming layer <2022-02-24> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texmf-dist/tex/latex/base/report.cls Document Class: report 2021/10/04 v1.4n Standard LaTeX document class (/usr/share/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texmf-dist/tex/latex/float/float.sty) (/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty (/usr/share/texmf-dist/tex/latex/pict2e/pict2e.sty (/usr/share/texmf-dist/tex/latex/pict2e/pict2e.cfg) (/usr/share/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) (/usr/share/texmf-dist/tex/latex/ellipse/ellipse.sty)) (./sphinxlatexadmonitions.sty (/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texmf-dist/tex/latex/colortbl/colortbl.sty) (/usr/share/texmf-dist/tex/latex/booktabs/booktabs.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty )) (./sphinxlatexstylepage.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texmf-dist/tex/latex/url/url.sty) (/usr/share/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd) (/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] [1] Chapter 1. LaTeX Warning: Hyper reference `examples:examples' on page 1 undefined on input line 152. [1] Chapter 2. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 164. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 2 undefined on input line 168. LaTeX Warning: Hyper reference `example_dist:examples-in-the-distribution' on p age 2 undefined on input line 172. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 183. LaTeX Warning: Hyper reference `install:installation' on page 2 undefined on in put line 186. (/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 2 undef ined on input line 227. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 2 undefined on input line 232. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 2 undefin ed on input line 237. [2] LaTeX Warning: Hyper reference `install:installation' on page 3 undefined on in put line 278. [3] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 4 undefined on input line 333. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 4 undefine d on input line 337. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 4 undefi ned on input line 342. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 4 undefined on input line 344. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 4 undefined on input line 348. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 4 undefin ed on input line 353. LaTeX Warning: Hyper reference `files:files-read-written' on page 4 undefined o n input line 371. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 4 undef ined on input line 401. LaTeX Warning: Hyper reference `install:installation' on page 4 undefined on in put line 405. [4] [5] [6] Chapter 3. LaTeX Warning: Hyper reference `install:git-install' on page 7 undefined on inp ut line 565. LaTeX Warning: Hyper reference `install:detailed-build-instructions' on page 7 undefined on input line 580. [7] LaTeX Warning: Hyper reference `install:package-manager-quick-install' on page 8 undefined on input line 617. [8] LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 688. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 689. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 9 undefined on input line 739. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 740. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 740. [9] LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 763. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 10 undefined on input line 764. LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 786. LaTeX Warning: Hyper reference `install:installation' on page 10 undefined on i nput line 812. [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 853. [11] [12] [13] Chapter 4. LaTeX Warning: Hyper reference `install:obtain-sources' on page 14 undefined on input line 1063. [14] [15] Chapter 5. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1117. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1117. LaTeX Warning: Hyper reference `verilating:c-and-systemc-generation' on page 16 undefined on input line 1118. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 16 u ndefined on input line 1122. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 16 un defined on input line 1127. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 16 undefined on input line 1133. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1145. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1145. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top-module' on page 16 undefined on input line 1155. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 16 und efined on input line 1156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 16 undefi ned on input line 1162. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 16 unde fined on input line 1163. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 16 undefin ed on input line 1168. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 16 undef ined on input line 1174. LaTeX Warning: Hyper reference `verilating:gnu-make' on page 16 undefined on in put line 1174. LaTeX Warning: Hyper reference `verilating:cmake' on page 16 undefined on input line 1175. LaTeX Warning: Hyper reference `simulating:simulating' on page 16 undefined on input line 1180. [16] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1198. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1200. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 17 undefined on input line 1211. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-hier_block' on page 17 undefined on input line 1215. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 17 u ndefined on input line 1215. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 1 7 undefined on input line 1220. Underfull \hbox (badness 7777) in paragraph at lines 1273--1276 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(. [17] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 18 undefined on input line 1287. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 18 undefined on input line 1293. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 18 undef ined on input line 1297. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1342. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1349. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 18 undefined on input line 1369. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 18 undef ined on input line 1374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1376. [18] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1379. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 19 u ndefined on input line 1379. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1381. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1381. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1382. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1386. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1390. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1396. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1404. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1408. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 19 u ndefined on input line 1429. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 19 un defined on input line 1440. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-dpi' on page 19 undefined on input line 1444. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 19 und efined on input line 1447. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 19 undefine d on input line 1451. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 19 undef ined on input line 1455. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 19 undefin ed on input line 1459. [19] LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 20 unde fined on input line 1482. [20] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 21 und efined on input line 1700. [21] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 22 undefined on input line 1712. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 22 undefi ned on input line 1763. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 22 unde fined on input line 1764. [22] Chapter 6. LaTeX Warning: Hyper reference `files:files-read-written' on page 23 undefined on input line 1852. [23] Overfull \hbox (47.37392pt too wide) in paragraph at lines 1927--1931 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp[]>internal[]>member[]>lookup \ T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp[]>rootp[]>internal[]>mem ber[]>lookup LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 24 undefine d on input line 1940. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 24 unde fined on input line 1954. LaTeX Warning: Hyper reference `connecting:evaluation-loop' on page 24 undefine d on input line 1957. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 24 undefine d on input line 1963. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-pins64' on page 24 u ndefined on input line 1971. [24] [25] [26] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 27 undefined on input line 2190. [27] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 28 undefin ed on input line 2263. [28] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2378. [29] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 30 undefi ned on input line 2397. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 30 unde fined on input line 2397. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 30 unde fined on input line 2403. [30] Chapter 7. LaTeX Warning: Hyper reference `exe_sim:simulation-runtime-arguments' on page 3 1 undefined on input line 2453. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 31 undefine d on input line 2459. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 31 un defined on input line 2460. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 31 u ndefined on input line 2461. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 31 unde fined on input line 2462. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 31 undefine d on input line 2462. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 31 un defined on input line 2464. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 31 un defined on input line 2465. LaTeX Warning: Hyper reference `verilating:multithreading' on page 31 undefined on input line 2471. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 31 undefined on input line 2472. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 31 un defined on input line 2476. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 3 1 undefined on input line 2504. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 31 unde fined on input line 2511. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 31 und efined on input line 2511. [31] LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 32 undefined o n input line 2547. Underfull \hbox (badness 10000) in paragraph at lines 2560--2565 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/n/10 MAKEFLAGS LaTeX Warning: Hyper reference `simulating:profiling' on page 32 undefined on i nput line 2568. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 32 un defined on input line 2580. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 32 undefined on input line 2585. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 32 undefined on input line 2589. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 32 undefine d on input line 2593. LaTeX Warning: Hyper reference `simulating:coverage-collection' on page 32 unde fined on input line 2599. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 32 un defined on input line 2605. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 32 undefined on input line 2605. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 32 unde fined on input line 2611. [32] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 33 un defined on input line 2625. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 33 undefined on input line 2625. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 33 undefined on input line 2634. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 33 undefined on input line 2636. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 33 undefined on input line 2637. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 33 un defined on input line 2642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 33 un defined on input line 2650. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 33 undefined on input line 2650. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 33 undefined on input line 2660. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-max-width' on page 33 undefined on input line 2661. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 33 undefined on input line 2686. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 33 undefined on input line 2687. [33] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 34 undefined on input line 2748. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 34 u ndefined on input line 2778. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 34 undefined on input line 2787. Underfull \hbox (badness 10000) in paragraph at lines 2785--2788 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [34] [35 <./fig_gantt_min.png>] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 36 und efined on input line 2862. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 36 und efined on input line 2863. [36] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 37 undefined on input line 2906. LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 37 undefined o n input line 2910. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 37 un defined on input line 2936. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 37 un defined on input line 2945. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-PROFOUTOFDATE' on page 3 7 undefined on input line 2963. [37] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 38 unde fined on input line 3052. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 38 und efined on input line 3052. [38] Chapter 8. LaTeX Warning: Hyper reference `languages:language-limitations' on page 39 unde fined on input line 3072. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 39 undef ined on input line 3075. [39] [40] [41] Chapter 9. [42] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 43 undef ined on input line 3395. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 43 undefined on input line 3398. [43] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 44 undef ined on input line 3409. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 44 unde fined on input line 3451. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 44 undefin ed on input line 3451. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 44 undef ined on input line 3464. Underfull \hbox (badness 10000) in paragraph at lines 3463--3470 []\T1/qtm/m/n/10 Pass the [][]\T1/txtt/m/sl/10 trace \T1/qtm/m/n/10 op-tion to Ver-i-la-tor, and in your top-level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/n/10 , c all [44] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 45 unde fined on input line 3510. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 45 undefin ed on input line 3511. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 45 u ndefined on input line 3529. [45] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-tracing_off' on page 46 undefined on input line 3575. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 46 undefined on input line 3581. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 46 undefined on input line 3582. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 46 undefined on input line 3642. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 46 unde fined on input line 3650. [46] LaTeX Warning: Hyper reference `connecting:connecting-to-c' on page 47 undefine d on input line 3657. (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 47 undefin ed on input line 3673. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 47 unde fined on input line 3674. LaTeX Warning: Hyper reference `connecting:connecting' on page 47 undefined on input line 3692. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 7 undefined on input line 3710. LaTeX Warning: Hyper reference `simulating:benchmarking-optimization' on page 4 7 undefined on input line 3718. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 7 undefined on input line 3731. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 47 undefined on input line 3737. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 47 undefined on input line 3744. [47] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 48 undefined on input line 3786. [48] [49] Chapter 10. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 50 u ndefined on input line 3870. [50] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 51 un defined on input line 3937. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 51 un defined on input line 3938. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 51 unde fined on input line 3948. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 51 unde fined on input line 3970. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ZERODLY' on page 51 unde fined on input line 4002. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-RISEFALLDLY' on page 51 undefined on input line 4009. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MINTYPMAX' on page 51 un defined on input line 4013. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 51 unde fined on input line 4016. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 51 undefi ned on input line 4016. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 51 undef ined on input line 4019. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 51 u ndefined on input line 4022. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NOTIMING' on page 51 und efined on input line 4022. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 51 unde fined on input line 4028. [51] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 52 un defined on input line 4033. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 52 undefined on input line 4058. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 52 unde fined on input line 4063. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 52 u ndefined on input line 4063. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NEEDTIMINGOPT' on page 5 2 undefined on input line 4064. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 52 undefined on input line 4077. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 52 undefined on input line 4083. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 52 undefined on input line 4084. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 52 unde fined on input line 4086. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 52 u ndefined on input line 4086. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 52 undefined on input line 4087. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 52 undefined on input line 4087. [52] Underfull \hbox (badness 5802) in paragraph at lines 4150--4156 []\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the in-stance names spec-i-fied in the Ver-ilog stan- Underfull \hbox (badness 10000) in paragraph at lines 4150--4156 \T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 53 un defined on input line 4190. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 53 u ndefined on input line 4199. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 53 un defined on input line 4210. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 53 undefined on input line 4217. [53] [54] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 55 undefined on input line 4312. [55] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 56 undef ined on input line 4428. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 56 undefined on input line 4465. [56] [57] Chapter 11. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__FILE__' on page 58 u ndefined on input line 4507. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__LINE__' on page 58 u ndefined on input line 4521. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 58 undefined on input line 4611. [58] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 59 undefined on input line 4673. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 59 u ndefined on input line 4673. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4685. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4686. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4701. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4702. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4717. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4718. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4733. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4734. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4749. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4750. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4765. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4766. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 59 undefined on input line 4774. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 59 un defined on input line 4788. [59] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 60 un defined on input line 4831. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 60 u ndefined on input line 4832. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 60 unde fined on input line 4844. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 60 undefined on input line 4846. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 60 undefined on input line 4860. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clock_enable' on pa ge 60 undefined on input line 4898. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 60 undefin ed on input line 4919. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clocker' on page 60 undefined on input line 4922. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_clocker' on page 60 undefined on input line 4922. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 60 undefined on input line 4939. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 60 un defined on input line 4965. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 60 undefined on input line 4966. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 60 undefined on input line 4981. [60] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-forceable' on page 61 undefined on input line 4991. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 1 undefined on input line 5007. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 61 undefined on input line 5010. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 61 unde fined on input line 5024. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-inline' on page 61 undefined on input line 5029. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 61 un defined on input line 5043. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-isolate_assignments ' on page 61 undefined on input line 5084. [61] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 62 undefined on input line 5165. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 62 undefined on input line 5185. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 62 undefined on input line 5244. [62] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 63 undefined on input line 5280. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 63 undefined on input line 5299. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rd' on page 63 undefined on input line 5315. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 63 undefined on input line 5331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rw' on page 63 undefined on input line 5334. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 63 unde fined on input line 5398. [63] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 64 undefined on input line 5401. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sc_bv' on page 64 u ndefined on input line 5437. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sformat' on page 64 undefined on input line 5456. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 64 un defined on input line 5471. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-split_var' on page 64 undefined on input line 5502. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 64 u ndefined on input line 5530. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 64 undefined on input line 5534. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 64 undefined on input line 5547. [64] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_on' on page 65 undefined on input line 5550. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 65 undefined on input line 5564. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 5 undefined on input line 5565. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 65 undef ined on input line 5565. [65] Chapter 12. [66] Underfull \vbox (badness 10000) detected at line 5825 Underfull \vbox (badness 10000) detected at line 5825 [67] Underfull \vbox (badness 10000) detected at line 5825 Underfull \vbox (badness 10000) detected at line 5825 [68] Underfull \vbox (badness 10000) detected at line 5825 Underfull \vbox (badness 10000) detected at line 5825 [69] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 70 undefin ed on input line 5847. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 70 unde fined on input line 5853. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 70 und efined on input line 5853. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 70 und efined on input line 5868. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 70 undefin ed on input line 5875. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog1995ext-ext' on page 70 undefined on input line 5946. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog2001ext-ext' on page 70 undefined on input line 5946. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-systemverilogext-ex t' on page 70 undefined on input line 5947. Underfull \hbox (badness 10000) in paragraph at lines 5944--5948 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/txtt/m/sl/10 +verilog1995ext+\T1/qtm/m/n/10 , LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 70 undefined on input line 5952. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 70 un defined on input line 5952. [70] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 71 undefi ned on input line 6053. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 71 undefin ed on input line 6054. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6054. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 71 unde fined on input line 6054. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 71 undefined on input line 6057. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 71 undefin ed on input line 6070. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6075. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 71 undefined on input line 6075. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dpi-hdr-only' on page 7 1 undefined on input line 6076. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 71 u ndefined on input line 6076. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 71 un defined on input line 6076. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 71 undef ined on input line 6106. LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKEFLAGS' on page 71 undefined on input line 6113. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 71 undefined on input line 6117. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 71 undefine d on input line 6129. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 71 undefin ed on input line 6161. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 71 undefined on input line 6167. [71] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 72 undefin ed on input line 6193. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 72 undefined on input line 6253. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 72 undefined on input line 6254. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 72 undefined on input line 6254. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 72 undefined on input line 6266. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 72 undefine d on input line 6292. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-underscore' on pa ge 72 undefined on input line 6305. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 72 undefined on input line 6318. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-define-var' on page 72 undefined on input line 6331. [72] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 73 unde fined on input line 6355. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug-check' on page 73 undefined on input line 6359. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 73 undefined on input line 6364. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-debug-leak' on page 73 undefined on input line 6369. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6389. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6402. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6408. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6411. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 73 unde fined on input line 6440. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6441. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 73 undefined on input line 6477. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 73 undefined on input line 6480. [73] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 74 undef ined on input line 6511. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 74 undef ined on input line 6512. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 74 undefi ned on input line 6527. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 74 undefined on input line 6539. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6572. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6587. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 74 undef ined on input line 6588. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6589. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 74 u ndefined on input line 6603. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 74 undefined on input line 6604. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-std' on page 74 unde fined on input line 6703. [74] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-defines' on page 7 5 undefined on input line 6706. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-P' on page 75 undefined on input line 6706. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pp-comments' on page 75 undefined on input line 6707. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-error-limit-val ue' on page 75 undefined on input line 6724. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-f' on page 75 undefined on input line 6766. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 75 undefined on input line 6767. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 75 undefined on input line 6781. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-f' on page 75 undefined on input line 6781. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 75 un defined on input line 6820. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-pre-inline' on page 75 undefined on input line 6898. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-post-inline' on page 75 undefined on input line 6898. [75] [76] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdbbt' on page 77 undef ined on input line 7205. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 77 undef ined on input line 7217. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 77 undef ined on input line 7219. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 77 undefin ed on input line 7221. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 77 undefined on input line 7233. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 77 undefined on input line 7250. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 77 undefined on input line 7264. [77] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 78 undefined on input line 7293. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 78 undefined on input line 7294. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 78 undefined on input line 7306. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 78 undefined on input line 7331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 78 undef ined on input line 7377. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 78 undefined on input line 7378. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-verilate-jobs' on page 78 undefined on input line 7379. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 78 undefined on input line 7413. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 78 und efined on input line 7434. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 78 undefined on input line 7456. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 78 undefined on input line 7456. [78] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 79 undefined on input line 7459. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale-override' on page 79 undefined on input line 7460. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 79 unde fined on input line 7465. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 79 undefined on input line 7468. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 79 undefi ned on input line 7499. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-sys' on page 79 un defined on input line 7504. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 79 undefined on input line 7504. LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKE' on page 79 unde fined on input line 7522. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7525. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-make' on page 79 undefi ned on input line 7526. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7527. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7539. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 79 unde fined on input line 7567. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 79 undef ined on input line 7568. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 79 undefine d on input line 7571. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 79 unde fined on input line 7574. [79] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 80 undefine d on input line 7641. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 80 undefine d on input line 7641. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 80 unde fined on input line 7654. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-MMD' on page 80 undefin ed on input line 7666. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 80 unde fined on input line 7704. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 80 undefine d on input line 7705. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-inline-mult' on page 80 undefined on input line 7707. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 80 undefin ed on input line 7735. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 80 unde fined on input line 7736. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7773. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7795. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7798. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7798. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 0 undefined on input line 7802. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split-cfuncs' on page 80 undefined on input line 7815. [80] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 8 1 undefined on input line 7819. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 81 undefined on input line 7832. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 8 1 undefined on input line 7850. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-biguint' on pag e 81 undefined on input line 7865. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-uint' on page 8 1 undefined on input line 7880. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 81 und efined on input line 7895. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 81 und efined on input line 7909. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 81 und efined on input line 7922. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 81 undefined on input line 7950. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 81 undefined on input line 7963. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 81 undefin ed on input line 7976. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 81 unde fined on input line 7989. LaTeX Warning: Hyper reference `simulating:profiling' on page 81 undefined on i nput line 8003. [81] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 82 undefined on input line 8006. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 82 unde fined on input line 8006. LaTeX Warning: Hyper reference `simulating:profiling' on page 82 undefined on i nput line 8024. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 82 undefined on input line 8027. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 82 unde fined on input line 8027. LaTeX Warning: Hyper reference `simulating:execution-profiling' on page 82 unde fined on input line 8040. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 82 und efined on input line 8053. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 82 undefined on input line 8054. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 82 undefined on input line 8082. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 82 undefined on input line 8108. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 82 undefined on input line 8113. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 82 undefined on input line 8118. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 82 undefined on input line 8134. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 82 undefined on input line 8135. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 82 undefined on input line 8136. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 82 undefined on input line 8136. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 82 unde fined on input line 8145. [82] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 83 undefined on input line 8162. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_module' o n page 83 undefined on input line 8164. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 83 undefined on input line 8166. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 83 undefin ed on input line 8182. Underfull \hbox (badness 6188) in paragraph at lines 8176--8186 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/n/10 /*verilator public_flat_rw @ LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 83 undefined on input line 8197. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-G-name' on page 83 unde fined on input line 8226. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 83 un defined on input line 8282. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 83 u ndefined on input line 8290. [83] LaTeX Warning: Hyper reference `simulating:save-restore' on page 84 undefined o n input line 8338. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 84 undefine d on input line 8350. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 84 undefine d on input line 8373. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 84 undefine d on input line 8374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 84 undef ined on input line 8400. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 84 undef ined on input line 8401. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNPACKED' on page 84 und efined on input line 8426. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 84 un defined on input line 8441. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1800-2017ext-ext' o n page 84 undefined on input line 8454. LaTeX Warning: Hyper reference `verilating:multithreading' on page 84 undefined on input line 8469. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 84 undef ined on input line 8470. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 84 u ndefined on input line 8470. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 84 und efined on input line 8515. [84] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 85 undefined on input line 8539. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 85 und efined on input line 8551. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 85 unde fined on input line 8643. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 85 und efined on input line 8645. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 85 u ndefined on input line 8658. Underfull \hbox (badness 7397) in paragraph at lines 8660--8668 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/n/10
__Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 85 und
efined on input line 8674.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 85 und
efined on input line 8675.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 85 undef
ined on input line 8687.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 
85 undefined on input line 8688.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 
85 undefined on input line 8689.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag
e 85 undefined on input line 8690.

[85]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 86
 undefined on input line 8710.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 86 undef
ined on input line 8726.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 
86 undefined on input line 8726.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 86 u
ndefined on input line 8795.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 86 
undefined on input line 8796.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 86 undef
ined on input line 8800.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 86 und
efined on input line 8800.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main-top-name' on page 
86 undefined on input line 8817.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on
 page 86 undefined on input line 8834.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 86 
undefined on input line 8872.

[86]

LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 87 
undefined on input line 8885.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSED' on page 87 undef
ined on input line 8898.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-getenv' on page 87 unde
fined on input line 8913.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 87 undef
ined on input line 8939.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 87 undefined
 on input line 8959.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-1995ext-ext' o
n page 87 undefined on input line 8971.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-2001ext-ext' o
n page 87 undefined on input line 8983.


LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 87 u
ndefined on input line 9026.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-lint' on page 87 
undefined on input line 9043.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-style' on page 87
 undefined on input line 9044.

[87]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-style' on page 88 u
ndefined on input line 9140.


Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
\T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn
-ings. This is equiv-a-lent to

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASEI
NCOMPLETE []Wno[]CASEOVERLAP

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]IMPLICITSTATIC []Wno[]PINCONNECTEMPTY []Wno[]PINMISSING 
[]Wno[]STATICVAR

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNSIGNED []Wno[]UNUS
EDGENVAR []Wno[]UNUSEDPARAM

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa
ge 88 undefined on input line 9177.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNIN' on page 88 und
efined on input line 9182.


Underfull \hbox (badness 6412) in paragraph at lines 9204--9216
[]\T1/txtt/m/n/10 Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwarn[]IMPLICIT []Wwarn[
]IMPLICITSTATIC []Wwarn[]LATCH

Underfull \hbox (badness 10000) in paragraph at lines 9204--9216
[]\T1/txtt/m/n/10 Wwarn[]MISINDENT []Wwarn[]NEWERSTD []Wwarn[]PINMISSING []Wwar
n[]REALCVT []Wwarn[]STATICVAR

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
\T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv
-a-lent to []\T1/txtt/m/n/10 Wwarn[]ASSIGNDLY

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
[]\T1/txtt/m/n/10 Wwarn[]DECLFILENAME []Wwarn[]DEFPARAM []Wwarn[]EOFNEWLINE []W
warn[]GENUNNAMED

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
[]\T1/txtt/m/n/10 Wwarn[]INCABSPATH []Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET
 []Wwarn[]UNDRIVEN
[88]

LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on 
page 89 undefined on input line 9286.


LaTeX Warning: Hyper reference `languages:unknown-states' on page 89 undefined 
on input line 9340.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page
 89 undefined on input line 9354.

[89]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page
 90 undefined on input line 9390.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-converge-limit' on page
 90 undefined on input line 9396.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 90 un
defined on input line 9429.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 90 undefined
 on input line 9442.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page
 90 undefined on input line 9443.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 90 undef
ined on input line 9443.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page
 90 undefined on input line 9444.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 90 undefined
 on input line 9444.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 90 undef
ined on input line 9445.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 90 undefi
ned on input line 9449.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilator_config' o
n page 90 undefined on input line 9464.

[90]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on
 page 91 undefined on input line 9541.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 91 undefin
ed on input line 9582.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page
 91 undefined on input line 9585.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o
ff' on page 91 undefined on input line 9611.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag
e 91 undefined on input line 9625.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-forceable' on pa
ge 91 undefined on input line 9628.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 9
1 undefined on input line 9667.


LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 91 
undefined on input line 9668.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o
n page 91 undefined on input line 9681.

[91]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme
nts' on page 92 undefined on input line 9713.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module
' on page 92 undefined on input line 9726.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' 
on page 92 undefined on input line 9755.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-lint' on page 92 un
defined on input line 9795.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 
92 undefined on input line 9846.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_flat' on 
page 92 undefined on input line 9847.


LaTeX Warning: Hyper reference `connecting:vpi-example' on page 92 undefined on
 input line 9848.


LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 92 undefined on 
input line 9862.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 9
2 undefined on input line 9884.

[92]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page
 93 undefined on input line 9913.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 93 un
defined on input line 9936.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa
ge 93 undefined on input line 9939.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 93 u
ndefined on input line 9963.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_on' on pa
ge 93 undefined on input line 9967.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p
age 93 undefined on input line 9968.

[93]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on
 page 94 undefined on input line 10063.


LaTeX Warning: Hyper reference `simulating:coverage-analysis' on page 94 undefi
ned on input line 10067.


LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove
rage-annotate-points' on page 94 undefined on input line 10140.


LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove
rage-annotate-points' on page 94 undefined on input line 10144.

[94]

LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove
rage-annotate' on page 95 undefined on input line 10173.


LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove
rage-annotate' on page 95 undefined on input line 10193.


LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove
rage-annotate' on page 95 undefined on input line 10216.


LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove
rage-write' on page 95 undefined on input line 10259.

[95]

LaTeX Warning: Hyper reference `simulating:profiling' on page 96 undefined on i
nput line 10322.

[96]

LaTeX Warning: Hyper reference `simulating:profiling' on page 97 undefined on i
nput line 10458.

[97]

LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debugi-value' o
n page 98 undefined on input line 10531.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 98 u
ndefined on input line 10581.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 98 u
ndefined on input line 10595.

[98]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 99 u
ndefined on input line 10612.


LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start
-value' on page 99 undefined on input line 10613.


Underfull \hbox (badness 10000) in paragraph at lines 10610--10618
\T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][]\T1/txtt/m/sl/10 prof[]e
xec\T1/qtm/m/n/10 , af-ter $time reaches

LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file-
filename' on page 99 undefined on input line 10629.


LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start
-value' on page 99 undefined on input line 10641.


LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-windo
w-value' on page 99 undefined on input line 10653.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 99 un
defined on input line 10665.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 99 u
ndefined on input line 10680.


LaTeX Warning: Hyper reference `languages:unknown-states' on page 99 undefined 
on input line 10682.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 99 u
ndefined on input line 10694.


Underfull \hbox (badness 10000) in paragraph at lines 10706--10710
\T1/qtm/m/n/10 Dis-able as-sert check-ing per run-time ar-gu-ment. This is the 
same as call-ing
[99]
Chapter 13.

LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 100 
undefined on input line 10782.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-lint_off' on page 1
00 undefined on input line 10783.

[100]

LaTeX Warning: Hyper reference `languages:language-limitations' on page 101 und
efined on input line 10841.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 101 
undefined on input line 10917.

[101]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 1
02 undefined on input line 11035.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-stmts' on page 1
02 undefined on input line 11035.

[102]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 103 und
efined on input line 11109.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 103 undefi
ned on input line 11223.

[103]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 104 u
ndefined on input line 11324.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 104 u
ndefined on input line 11325.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 104 u
ndefined on input line 11326.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 104 undefine
d on input line 11345.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-v' on page 104 undefine
d on input line 11347.

[104]

LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 105 u
ndefined on input line 11468.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 10
5 undefined on input line 11499.

[105]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 106 
undefined on input line 11524.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 106 u
ndefined on input line 11525.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 106 und
efined on input line 11526.

[106]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p
age 107 undefined on input line 11756.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-if-depth' on page 107 u
ndefined on input line 11770.

[107]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 108 undef
ined on input line 11843.

[108]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' 
on page 109 undefined on input line 11939.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 109 
undefined on input line 11984.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-COMBDLY' on page 109 und
efined on input line 12003.

[109] [110]

LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASCRANGE' on page 111 un
defined on input line 12170.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-LITENDIAN' on page 111 u
ndefined on input line 12170.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASCRANGE' on page 111 un
defined on input line 12171.

[111]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-v' on page 112 undefine
d on input line 12335.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 112 undefi
ned on input line 12341.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 112 und
efined on input line 12369.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 112 
undefined on input line 12369.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 112 u
ndefined on input line 12382.

[112]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 113 u
ndefined on input line 12389.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 113 und
efined on input line 12423.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 113 
undefined on input line 12425.

[113]

LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 114 undefined on
 input line 12632.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-profile_data' on pa
ge 114 undefined on input line 12633.

[114] [115]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa
ge 116 undefined on input line 12919.

[116]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 117 
undefined on input line 13008.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 117 und
efined on input line 13029.

[117]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Werror-message' on page
 118 undefined on input line 13147.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale' on page 118 
undefined on input line 13171.

[118]

LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa
ge 119 undefined on input line 13302.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa
ge 119 undefined on input line 13304.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa
ge 119 undefined on input line 13305.


LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme
nts' on page 119 undefined on input line 13310.

[119]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa
ge 120 undefined on input line 13319.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 120 un
defined on input line 13342.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-max-mtasks' on 
page 120 undefined on input line 13346.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 120
 undefined on input line 13415.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 120
 undefined on input line 13417.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDGENVAR' on page 12
0 undefined on input line 13430.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDPARAM' on page 120
 undefined on input line 13430.


LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDSIGNAL' on page 12
0 undefined on input line 13431.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 
120 undefined on input line 13473.

[120]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 
121 undefined on input line 13496.

[121] [122]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 123 und
efined on input line 13855.

[123]
Chapter 14.

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 124 undef
ined on input line 13891.


Overfull \hbox (26.03229pt too wide) in paragraph at lines 14088--14088
[]\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1
0 .cpp| 
[124] [125]

LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 126 undefined on
 input line 14326.


LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 126 undefined on
 input line 14326.

[126]
Chapter 15.

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 127 unde
fined on input line 14413.


LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 127
 undefined on input line 14429.


LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p
age 127 undefined on input line 14472.


LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa
ge 127 undefined on input line 14473.


LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa
ge 127 undefined on input line 14488.

[127]

LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 128 undefi
ned on input line 14577.


LaTeX Warning: Hyper reference `install:installation' on page 128 undefined on 
input line 14625.

[128]
Chapter 16.
[129]
Chapter 17.
[130] [131] [132]
Chapter 18.
[133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144]
[145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156]
[157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168]
[169] [170] [171] [172] [173] [174]
Underfull \hbox (badness 10000) in paragraph at lines 20394--20397
[]\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric
 Re-quin] Set
[175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186]
[187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198]
[199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210]
[211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222]
[223] [224] [225] [226]
Chapter 19.
[227] (./verilator.aux)

LaTeX Warning: There were undefined references.


LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right.


Package rerunfilecheck Warning: File `verilator.out' has changed.
(rerunfilecheck)                Rerun to get outlines right
(rerunfilecheck)                or use package `bookmark'.

 )
(see the transcript file for additional information){/usr/share/texmf-dist/font
s/enc/dvips/tex-gyre/q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q
-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc}
Output written on verilator.pdf (229 pages, 799860 bytes).
Transcript written on verilator.log.
Latexmk: Getting log file 'verilator.log'
Latexmk: Examining 'verilator.fls'
Latexmk: Examining 'verilator.log'
Latexmk: References changed.
Latexmk: References changed.
Latexmk: Log file says output to 'verilator.pdf'
Latexmk: applying rule 'pdflatex'...
Rule 'pdflatex': File changes, etc:
   Changed files, or newly in use since previous run(s):
  verilator.aux
  verilator.out
Rule 'pdflatex': The following rules & subrules became out-of-date:
  pdflatex
------------
Run number 2 of rule 'pdflatex'
------------
------------
Running 'pdflatex   -recorder  "verilator.tex"'
------------
This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Mageia) (preloaded format=pdflatex)
 restricted \write18 enabled.
entering extended mode
(./verilator.tex
LaTeX2e <2021-11-15> patch level 1
L3 programming layer <2022-02-24> (./sphinxmanual.cls
Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual)
(/usr/share/texmf-dist/tex/latex/base/report.cls
Document Class: report 2021/10/04 v1.4n Standard LaTeX document class
(/usr/share/texmf-dist/tex/latex/base/size10.clo)))
(/usr/share/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texmf-dist/tex/latex/cmap/cmap.sty)
(/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>)
(/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty
(/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texmf-dist/tex/latex/graphics/keyval.sty)
(/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty)))
(/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty)
(/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty
(/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def))
(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty)
(/usr/share/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texmf-dist/tex/latex/float/float.sty)
(/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty)
(/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty)
(/usr/share/texmf-dist/tex/latex/tools/multicol.sty)
(/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty
(/usr/share/texmf-dist/tex/latex/pict2e/pict2e.sty
(/usr/share/texmf-dist/tex/latex/pict2e/pict2e.cfg)
(/usr/share/texmf-dist/tex/latex/pict2e/p2e-pdftex.def))
(/usr/share/texmf-dist/tex/latex/ellipse/ellipse.sty))
(./sphinxlatexadmonitions.sty
(/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty
(/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty)
(/usr/share/texmf-dist/tex/latex/base/alltt.sty)
(/usr/share/texmf-dist/tex/latex/upquote/upquote.sty)
(/usr/share/texmf-dist/tex/latex/needspace/needspace.sty))
(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty)
(./sphinxhighlight.sty) (./sphinxlatextables.sty
(/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty
(/usr/share/texmf-dist/tex/latex/tools/array.sty))
(/usr/share/texmf-dist/tex/latex/tools/longtable.sty)
(/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)
(/usr/share/texmf-dist/tex/latex/colortbl/colortbl.sty)
(/usr/share/texmf-dist/tex/latex/booktabs/booktabs.sty))
(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty
) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty
)) (./sphinxlatexstylepage.sty
(/usr/share/texmf-dist/tex/latex/parskip/parskip.sty
(/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty))
(/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty))
(./sphinxlatexstyleheadings.sty
(/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty))
(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty))
(/usr/share/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty
(/usr/share/texmf-dist/tex/generic/iftex/iftex.sty)))
(/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty)
(/usr/share/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))
(/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty))
(/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty)
(/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd)
(/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(./verilator.aux)
(/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty))
(./verilator.out) (./verilator.out)
(/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd)
(/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon
ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc
[1]) [2]
Chapter 1.
[1]
Chapter 2.
(/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd)
(/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] [3] [4] [5] [6]
Chapter 3.
[7] [8] [9] [10]

LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on
 page 11 undefined on input line 853.

[11] [12] [13]
Chapter 4.
[14] [15]
Chapter 5.
[16]
Underfull \hbox (badness 7777) in paragraph at lines 1273--1276
[]\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er
-ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(.
[17] [18] [19] [20] [21] [22]
Chapter 6.
[23]
Overfull \hbox (47.37392pt too wide) in paragraph at lines 1927--1931
[]\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp[]>internal[]>member[]>lookup \
T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp[]>rootp[]>internal[]>mem
ber[]>lookup
[24] [25] [26] [27] [28] [29] [30]
Chapter 7.
[31]
Underfull \hbox (badness 10000) in paragraph at lines 2560--2565
[]\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve
r-i-lated code with [][]\T1/txtt/m/n/10 MAKEFLAGS
[32] [33]
Underfull \hbox (badness 10000) in paragraph at lines 2785--2788
[]\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t
o the file spec-i-fied with
[34] [35 <./fig_gantt_min.png>] [36] [37] [38]
Chapter 8.
[39] [40] [41]
Chapter 9.
[42] [43]
Underfull \hbox (badness 10000) in paragraph at lines 3463--3470
[]\T1/qtm/m/n/10 Pass the [][][][]\T1/txtt/m/sl/10 trace[][] \T1/qtm/m/n/10 op-
tion to Ver-i-la-tor, and in your top-level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/
n/10 , call
[44] [45] [46] (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) [47]
[48] [49]
Chapter 10.
[50] [51] [52]
Underfull \hbox (badness 5802) in paragraph at lines 4150--4156
[]\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the 
in-stance names spec-i-fied in the Ver-ilog stan-

Underfull \hbox (badness 10000) in paragraph at lines 4150--4156
\T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam
e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes
[53] [54] [55] [56] [57]
Chapter 11.
[58] [59] [60] [61] [62] [63] [64] [65]
Chapter 12.
[66]
Underfull \vbox (badness 10000) detected at line 5825

Underfull \vbox (badness 10000) detected at line 5825
[67]
Underfull \vbox (badness 10000) detected at line 5825

Underfull \vbox (badness 10000) detected at line 5825
[68]
Underfull \vbox (badness 10000) detected at line 5825

Underfull \vbox (badness 10000) detected at line 5825
[69]
Underfull \hbox (badness 10000) in paragraph at lines 5944--5948
[]\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s
yn-onyms [][]\T1/txtt/m/sl/10 +verilog1995ext+[][]\T1/qtm/m/n/10 ,
[70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82]
Underfull \hbox (badness 6188) in paragraph at lines 8176--8186
\T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha
d \T1/txtt/m/n/10 /*verilator public_flat_rw @
[83] [84]
Underfull \hbox (badness 7397) in paragraph at lines 8660--8668
[]\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/n/10 
__Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion
[85] [86] [87]
Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
\T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn
-ings. This is equiv-a-lent to

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASEI
NCOMPLETE []Wno[]CASEOVERLAP

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]IMPLICITSTATIC []Wno[]PINCONNECTEMPTY []Wno[]PINMISSING 
[]Wno[]STATICVAR

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNSIGNED []Wno[]UNUS
EDGENVAR []Wno[]UNUSEDPARAM

Underfull \hbox (badness 6412) in paragraph at lines 9204--9216
[]\T1/txtt/m/n/10 Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwarn[]IMPLICIT []Wwarn[
]IMPLICITSTATIC []Wwarn[]LATCH

Underfull \hbox (badness 10000) in paragraph at lines 9204--9216
[]\T1/txtt/m/n/10 Wwarn[]MISINDENT []Wwarn[]NEWERSTD []Wwarn[]PINMISSING []Wwar
n[]REALCVT []Wwarn[]STATICVAR

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
\T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv
-a-lent to []\T1/txtt/m/n/10 Wwarn[]ASSIGNDLY

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
[]\T1/txtt/m/n/10 Wwarn[]DECLFILENAME []Wwarn[]DEFPARAM []Wwarn[]EOFNEWLINE []W
warn[]GENUNNAMED

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
[]\T1/txtt/m/n/10 Wwarn[]INCABSPATH []Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET
 []Wwarn[]UNDRIVEN
[88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98]
Underfull \hbox (badness 10000) in paragraph at lines 10610--10618
\T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][][][]\T1/txtt/m/sl/10 pro
f[]exec[][]\T1/qtm/m/n/10 , af-ter $time reaches

Underfull \hbox (badness 10000) in paragraph at lines 10706--10710
\T1/qtm/m/n/10 Dis-able as-sert check-ing per run-time ar-gu-ment. This is the 
same as call-ing
[99]
Chapter 13.
[100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111]
[112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123]
Chapter 14.

Overfull \hbox (26.03229pt too wide) in paragraph at lines 14088--14088
[]\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1
0 .cpp| 
[124] [125] [126]
Chapter 15.
[127] [128]
Chapter 16.
[129]
Chapter 17.
[130] [131] [132]
Chapter 18.
[133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144]
[145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156]
[157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168]
[169] [170] [171] [172] [173] [174]
Underfull \hbox (badness 10000) in paragraph at lines 20394--20397
[]\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric
 Re-quin] Set
[175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186]
[187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198]
[199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210]
[211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222]
[223] [224] [225] [226]
Chapter 19.
[227] (./verilator.aux)

LaTeX Warning: There were undefined references.

 )
(see the transcript file for additional information){/usr/share/texmf-dist/font
s/enc/dvips/tex-gyre/q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q
-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc}
Output written on verilator.pdf (230 pages, 855929 bytes).
Transcript written on verilator.log.
Latexmk: Getting log file 'verilator.log'
Latexmk: Examining 'verilator.fls'
Latexmk: Examining 'verilator.log'
Latexmk: Log file says output to 'verilator.pdf'
Latexmk: applying rule 'pdflatex'...
Rule 'pdflatex': File changes, etc:
   Changed files, or newly in use since previous run(s):
  verilator.aux
  verilator.toc
Rule 'pdflatex': The following rules & subrules became out-of-date:
  pdflatex
------------
Run number 3 of rule 'pdflatex'
------------
------------
Running 'pdflatex   -recorder  "verilator.tex"'
------------
This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Mageia) (preloaded format=pdflatex)
 restricted \write18 enabled.
entering extended mode
(./verilator.tex
LaTeX2e <2021-11-15> patch level 1
L3 programming layer <2022-02-24> (./sphinxmanual.cls
Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual)
(/usr/share/texmf-dist/tex/latex/base/report.cls
Document Class: report 2021/10/04 v1.4n Standard LaTeX document class
(/usr/share/texmf-dist/tex/latex/base/size10.clo)))
(/usr/share/texmf-dist/tex/latex/base/inputenc.sty)
(/usr/share/texmf-dist/tex/latex/cmap/cmap.sty)
(/usr/share/texmf-dist/tex/latex/base/fontenc.sty<>)
(/usr/share/texmf-dist/tex/latex/amsmath/amsmath.sty
For additional information on amsmath, use the `?' option.
(/usr/share/texmf-dist/tex/latex/amsmath/amstext.sty
(/usr/share/texmf-dist/tex/latex/amsmath/amsgen.sty))
(/usr/share/texmf-dist/tex/latex/amsmath/amsbsy.sty)
(/usr/share/texmf-dist/tex/latex/amsmath/amsopn.sty))
(/usr/share/texmf-dist/tex/latex/amsfonts/amssymb.sty
(/usr/share/texmf-dist/tex/latex/amsfonts/amsfonts.sty))
(/usr/share/texmf-dist/tex/generic/babel/babel.sty
(/usr/share/texmf-dist/tex/generic/babel/txtbabel.def)
(/usr/share/texmf-dist/tex/generic/babel-english/english.ldf))
(/usr/share/texmf-dist/tex/latex/tex-gyre/tgtermes.sty
(/usr/share/texmf-dist/tex/latex/kvoptions/kvoptions.sty
(/usr/share/texmf-dist/tex/latex/graphics/keyval.sty)
(/usr/share/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)
(/usr/share/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty)))
(/usr/share/texmf-dist/tex/latex/tex-gyre/tgheros.sty)
(/usr/share/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty
(/usr/share/texmf-dist/tex/latex/xcolor/xcolor.sty
(/usr/share/texmf-dist/tex/latex/graphics-cfg/color.cfg)
(/usr/share/texmf-dist/tex/latex/graphics-def/pdftex.def))
(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty)
(/usr/share/texmf-dist/tex/latex/base/textcomp.sty)
(/usr/share/texmf-dist/tex/latex/float/float.sty)
(/usr/share/texmf-dist/tex/latex/wrapfig/wrapfig.sty)
(/usr/share/texmf-dist/tex/latex/capt-of/capt-of.sty)
(/usr/share/texmf-dist/tex/latex/tools/multicol.sty)
(/usr/share/texmf-dist/tex/latex/graphics/graphicx.sty
(/usr/share/texmf-dist/tex/latex/graphics/graphics.sty
(/usr/share/texmf-dist/tex/latex/graphics/trig.sty)
(/usr/share/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))
(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty
(/usr/share/texmf-dist/tex/latex/pict2e/pict2e.sty
(/usr/share/texmf-dist/tex/latex/pict2e/pict2e.cfg)
(/usr/share/texmf-dist/tex/latex/pict2e/p2e-pdftex.def))
(/usr/share/texmf-dist/tex/latex/ellipse/ellipse.sty))
(./sphinxlatexadmonitions.sty
(/usr/share/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty
(/usr/share/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty)
(/usr/share/texmf-dist/tex/latex/base/alltt.sty)
(/usr/share/texmf-dist/tex/latex/upquote/upquote.sty)
(/usr/share/texmf-dist/tex/latex/needspace/needspace.sty))
(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty)
(./sphinxhighlight.sty) (./sphinxlatextables.sty
(/usr/share/texmf-dist/tex/latex/tabulary/tabulary.sty
(/usr/share/texmf-dist/tex/latex/tools/array.sty))
(/usr/share/texmf-dist/tex/latex/tools/longtable.sty)
(/usr/share/texmf-dist/tex/latex/varwidth/varwidth.sty)
(/usr/share/texmf-dist/tex/latex/colortbl/colortbl.sty)
(/usr/share/texmf-dist/tex/latex/booktabs/booktabs.sty))
(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty
) (./sphinxlatexindbibtoc.sty (/usr/share/texmf-dist/tex/latex/base/makeidx.sty
)) (./sphinxlatexstylepage.sty
(/usr/share/texmf-dist/tex/latex/parskip/parskip.sty
(/usr/share/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty))
(/usr/share/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty))
(./sphinxlatexstyleheadings.sty
(/usr/share/texmf-dist/tex/latex/titlesec/titlesec.sty))
(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty))
(/usr/share/texmf-dist/tex/latex/geometry/geometry.sty
(/usr/share/texmf-dist/tex/generic/iftex/ifvtex.sty
(/usr/share/texmf-dist/tex/generic/iftex/iftex.sty)))
(/usr/share/texmf-dist/tex/latex/hyperref/hyperref.sty
(/usr/share/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty
(/usr/share/texmf-dist/tex/generic/infwarerr/infwarerr.sty))
(/usr/share/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)
(/usr/share/texmf-dist/tex/generic/pdfescape/pdfescape.sty)
(/usr/share/texmf-dist/tex/latex/hycolor/hycolor.sty)
(/usr/share/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)
(/usr/share/texmf-dist/tex/latex/auxhook/auxhook.sty)
(/usr/share/texmf-dist/tex/latex/hyperref/pd1enc.def)
(/usr/share/texmf-dist/tex/generic/intcalc/intcalc.sty)
(/usr/share/texmf-dist/tex/generic/etexcmds/etexcmds.sty)
(/usr/share/texmf-dist/tex/latex/hyperref/puenc.def)
(/usr/share/texmf-dist/tex/latex/url/url.sty)
(/usr/share/texmf-dist/tex/generic/bitset/bitset.sty
(/usr/share/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty))
(/usr/share/texmf-dist/tex/latex/base/atbegshi-ltx.sty))
(/usr/share/texmf-dist/tex/latex/hyperref/hpdftex.def
(/usr/share/texmf-dist/tex/latex/base/atveryend-ltx.sty)
(/usr/share/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty
(/usr/share/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty)))
(/usr/share/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty)
(/usr/share/texmf-dist/tex/latex/tex-gyre/t1qtm.fd)
(/usr/share/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)
(./verilator.aux)
(/usr/share/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty
(/usr/share/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))
*geometry* driver: auto-detecting
*geometry* detected driver: pdftex
(/usr/share/texmf-dist/tex/latex/hyperref/nameref.sty
(/usr/share/texmf-dist/tex/latex/refcount/refcount.sty)
(/usr/share/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty))
(./verilator.out) (./verilator.out)
(/usr/share/texmf-dist/tex/latex/txfonts/t1txtt.fd)
(/usr/share/texmf-dist/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texmf-dist/tex/latex/amsfonts/umsa.fd)
(/usr/share/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texmf-dist/fon
ts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc
[1]) [2]
Chapter 1.
[1]
Chapter 2.
(/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qtm.fd)
(/usr/share/texmf-dist/tex/latex/txfonts/ts1txtt.fd) [2] [3] [4] [5] [6]
Chapter 3.
[7] [8] [9] [10]

LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on
 page 11 undefined on input line 853.

[11] [12] [13]
Chapter 4.
[14] [15]
Chapter 5.
[16]
Underfull \hbox (badness 7777) in paragraph at lines 1273--1276
[]\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er
-ar-chy block can be over-rid-den us-ing \T1/txtt/m/n/10 #(.
[17] [18] [19] [20] [21] [22]
Chapter 6.
[23]
Overfull \hbox (47.37392pt too wide) in paragraph at lines 1927--1931
[]\T1/qtm/m/n/10 Re-place \T1/txtt/m/n/10 modelp[]>internal[]>member[]>lookup \
T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/n/10 modelp[]>rootp[]>internal[]>mem
ber[]>lookup
[24] [25] [26] [27] [28] [29] [30]
Chapter 7.
[31]
Underfull \hbox (badness 10000) in paragraph at lines 2560--2565
[]\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve
r-i-lated code with [][]\T1/txtt/m/n/10 MAKEFLAGS
[32] [33]
Underfull \hbox (badness 10000) in paragraph at lines 2785--2788
[]\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t
o the file spec-i-fied with
[34] [35 <./fig_gantt_min.png>] [36] [37] [38]
Chapter 8.
[39] [40] [41]
Chapter 9.
[42] [43]
Underfull \hbox (badness 10000) in paragraph at lines 3463--3470
[]\T1/qtm/m/n/10 Pass the [][][][]\T1/txtt/m/sl/10 trace[][] \T1/qtm/m/n/10 op-
tion to Ver-i-la-tor, and in your top-level \T1/txtt/m/n/10 sc_main()\T1/qtm/m/
n/10 , call
[44] [45] [46] (/usr/share/texmf-dist/tex/latex/tex-gyre/ts1qhv.fd) [47]
[48] [49]
Chapter 10.
[50] [51] [52]
Underfull \hbox (badness 5802) in paragraph at lines 4150--4156
[]\T1/qtm/m/n/10 Ref-er-ences into gen-er-ated and ar-rayed in-stances use the 
in-stance names spec-i-fied in the Ver-ilog stan-

Underfull \hbox (badness 10000) in paragraph at lines 4150--4156
\T1/qtm/m/n/10 dard; ar-rayed in-stances are named \T1/txtt/m/n/10 {instanceNam
e}[{instanceNumber}] \T1/qtm/m/n/10 in Ver-ilog, which be-comes
[53] [54] [55] [56] [57]
Chapter 11.
[58] [59] [60] [61] [62] [63] [64] [65]
Chapter 12.
[66]
Underfull \vbox (badness 10000) detected at line 5825

Underfull \vbox (badness 10000) detected at line 5825
[67]
Underfull \vbox (badness 10000) detected at line 5825

Underfull \vbox (badness 10000) detected at line 5825
[68]
Underfull \vbox (badness 10000) detected at line 5825

Underfull \vbox (badness 10000) detected at line 5825
[69]
Underfull \hbox (badness 10000) in paragraph at lines 5944--5948
[]\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s
yn-onyms [][]\T1/txtt/m/sl/10 +verilog1995ext+[][]\T1/qtm/m/n/10 ,
[70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82]
Underfull \hbox (badness 6188) in paragraph at lines 8176--8186
\T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha
d \T1/txtt/m/n/10 /*verilator public_flat_rw @
[83] [84]
Underfull \hbox (badness 7397) in paragraph at lines 8660--8668
[]\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/n/10 
__Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion
[85] [86] [87]
Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
\T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn
-ings. This is equiv-a-lent to

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]ALWCOMBORDER []Wno[]ASCRANGE []Wno[]BSSPACE []Wno[]CASEI
NCOMPLETE []Wno[]CASEOVERLAP

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]IMPLICITSTATIC []Wno[]PINCONNECTEMPTY []Wno[]PINMISSING 
[]Wno[]STATICVAR

Underfull \hbox (badness 10000) in paragraph at lines 9130--9141
[]\T1/txtt/m/n/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNSIGNED []Wno[]UNUS
EDGENVAR []Wno[]UNUSEDPARAM

Underfull \hbox (badness 6412) in paragraph at lines 9204--9216
[]\T1/txtt/m/n/10 Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwarn[]IMPLICIT []Wwarn[
]IMPLICITSTATIC []Wwarn[]LATCH

Underfull \hbox (badness 10000) in paragraph at lines 9204--9216
[]\T1/txtt/m/n/10 Wwarn[]MISINDENT []Wwarn[]NEWERSTD []Wwarn[]PINMISSING []Wwar
n[]REALCVT []Wwarn[]STATICVAR

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
\T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv
-a-lent to []\T1/txtt/m/n/10 Wwarn[]ASSIGNDLY

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
[]\T1/txtt/m/n/10 Wwarn[]DECLFILENAME []Wwarn[]DEFPARAM []Wwarn[]EOFNEWLINE []W
warn[]GENUNNAMED

Underfull \hbox (badness 10000) in paragraph at lines 9225--9233
[]\T1/txtt/m/n/10 Wwarn[]INCABSPATH []Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET
 []Wwarn[]UNDRIVEN
[88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98]
Underfull \hbox (badness 10000) in paragraph at lines 10610--10618
\T1/qtm/m/n/10 When a model was Ver-i-lated us-ing [][][][]\T1/txtt/m/sl/10 pro
f[]exec[][]\T1/qtm/m/n/10 , af-ter $time reaches

Underfull \hbox (badness 10000) in paragraph at lines 10706--10710
\T1/qtm/m/n/10 Dis-able as-sert check-ing per run-time ar-gu-ment. This is the 
same as call-ing
[99]
Chapter 13.
[100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111]
[112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123]
Chapter 14.

Overfull \hbox (26.03229pt too wide) in paragraph at lines 14088--14088
[]\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1
0 .cpp| 
[124] [125] [126]
Chapter 15.
[127] [128]
Chapter 16.
[129]
Chapter 17.
[130] [131] [132]
Chapter 18.
[133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144]
[145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156]
[157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168]
[169] [170] [171] [172] [173] [174]
Underfull \hbox (badness 10000) in paragraph at lines 20394--20397
[]\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric
 Re-quin] Set
[175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186]
[187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198]
[199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210]
[211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222]
[223] [224] [225] [226]
Chapter 19.
[227] (./verilator.aux)

LaTeX Warning: There were undefined references.

 )
(see the transcript file for additional information){/usr/share/texmf-dist/font
s/enc/dvips/tex-gyre/q-ec.enc}{/usr/share/texmf-dist/fonts/enc/dvips/tex-gyre/q
-ts1.enc}{/usr/share/texmf-dist/fonts/enc/dvips/base/8r.enc}
Output written on verilator.pdf (230 pages, 855929 bytes).
Transcript written on verilator.log.
Latexmk: Getting log file 'verilator.log'
Latexmk: Examining 'verilator.fls'
Latexmk: Examining 'verilator.log'
Latexmk: Log file says output to 'verilator.pdf'
Latexmk: All targets (verilator.pdf) are up-to-date
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs/_build/latex'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/docs'
cp _build/latex/verilator.pdf ..
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/docs'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
/usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
/usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Partition.cpp -o V3Partition.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Partition.cpp -o V3Partition.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto  -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP  -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
      Linking ../../bin/verilator_bin...
x86_64-alt-linux-g++ -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o  V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o  V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3Param.o V3Partition.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o   -lpthread -lm
V3Lexer_pregen.yy.cpp:221:8: warning: type 'struct yy_buffer_state' violates the C++ One Definition Rule [-Wodr]
  221 | struct yy_buffer_state
      |        ^
V3PreLex_pregen.yy.cpp:489:8: note: a different type is defined in another translation unit
  489 | struct yy_buffer_state
      |        ^
V3Lexer_pregen.yy.cpp:227:25: note: the first difference of corresponding definitions is field 'yy_input_file'
  227 |         std::streambuf* yy_input_file;
      |                         ^
V3PreLex_pregen.yy.cpp:492:15: note: a field of same name but different type is defined in another translation unit
  492 |         FILE *yy_input_file;
      |               ^
V3Lexer_pregen.yy.cpp:221:8: note: type name 'std::basic_streambuf >' should match type name '_IO_FILE'
  221 | struct yy_buffer_state
      |        ^
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
      Linking ../../bin/verilator_bin_dbg...
x86_64-alt-linux-g++ -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o  V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o  V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3Param.o V3Partition.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o   -lpthread -lm
V3Lexer_pregen.yy.cpp:221:8: warning: type 'struct yy_buffer_state' violates the C++ One Definition Rule [-Wodr]
  221 | struct yy_buffer_state
      |        ^
V3PreLex_pregen.yy.cpp:489:8: note: a different type is defined in another translation unit
  489 | struct yy_buffer_state
      |        ^
V3Lexer_pregen.yy.cpp:227:25: note: the first difference of corresponding definitions is field 'yy_input_file'
  227 |         std::streambuf* yy_input_file;
      |                         ^
V3PreLex_pregen.yy.cpp:492:15: note: a field of same name but different type is defined in another translation unit
  492 |         FILE *yy_input_file;
      |               ^
V3Lexer_pregen.yy.cpp:221:8: note: type name 'std::basic_streambuf >' should match type name '_IO_FILE'
  221 | struct yy_buffer_state
      |        ^
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018'
Build complete!

Now type 'make test' to test.

make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018'
+ exit 0
Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.48664
+ umask 022
+ /bin/mkdir -p /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ /bin/chmod -Rf u+rwX -- /usr/src/tmp/verilator-buildroot
+ :
+ /bin/rm -rf -- /usr/src/tmp/verilator-buildroot
+ PATH=/usr/libexec/rpm-build:/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games
+ cd verilator-5.018
+ make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/verilator-buildroot
make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018'
------------------------------------------------------------
making verilator in src
make -C src 
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src'
make -C obj_dbg -j 1  TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Nothing to be done for 'serial'.
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_dbg       TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
      Compile flags:  x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator"
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_dbg       TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Nothing to be done for 'serial_vlcov'.
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_dbg       TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
      Compile flags:  x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator"
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_opt -j 1  TGT=../../bin/verilator_bin -f ../Makefile_obj serial
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Nothing to be done for 'serial'.
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make -C obj_opt       TGT=../../bin/verilator_bin -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
      Compile flags:  x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator"
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src'
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/bin
mkdir /usr/src/tmp/verilator-buildroot
mkdir /usr/src/tmp/verilator-buildroot/usr
mkdir /usr/src/tmp/verilator-buildroot/usr/bin
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator /usr/src/tmp/verilator-buildroot/usr/bin/verilator )
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_coverage /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage )
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_gantt /usr/src/tmp/verilator-buildroot/usr/bin/verilator_gantt )
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_profcfunc /usr/src/tmp/verilator-buildroot/usr/bin/verilator_profcfunc )
( cd bin ; /usr/libexec/rpm-build/install -p verilator_bin /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin )
( cd bin ; /usr/libexec/rpm-build/install -p verilator_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_bin_dbg )
( cd bin ; /usr/libexec/rpm-build/install -p verilator_coverage_bin_dbg /usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage_bin_dbg )
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin
mkdir /usr/src/tmp/verilator-buildroot/usr/share
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_includer /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer )
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_ccache_report /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_ccache_report )
( cd ./bin ; /usr/libexec/rpm-build/install -p verilator_difftree /usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_difftree )
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/man/man1
mkdir /usr/src/tmp/verilator-buildroot/usr/share/man
mkdir /usr/src/tmp/verilator-buildroot/usr/share/man/man1
for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \
  /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/man/man1/$p; \
done
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/gtkwave
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/gtkwave
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/include/vltstd
for p in include/verilated_config.h include/verilated.mk  ; do \
  /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \
done
cd . \
; for p in include/*.[chv]* include/*.sv include/gtkwave/*.[chv]* include/vltstd/*.[chv]*  ; do \
  /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \
done
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_binary
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_binary
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_c
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_c
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_sc
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_hello_sc
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_c
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_c
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_sc
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_tracing_sc
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_protect_lib
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/make_protect_lib
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_c
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_c
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_sc
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_hello_sc
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_c
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_c
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_sc
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_tracing_sc
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_protect_lib
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/cmake_protect_lib
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/xml_py
mkdir /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples/xml_py
cd . \
; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_*  ; do \
  /usr/libexec/rpm-build/install -p -m 644 $p /usr/src/tmp/verilator-buildroot/usr/share/verilator/$p; \
done
/bin/sh ./src/mkinstalldirs /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig
mkdir /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig
/usr/libexec/rpm-build/install -p -m 644 verilator.pc /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig
/usr/libexec/rpm-build/install -p -m 644 verilator-config.cmake /usr/src/tmp/verilator-buildroot/usr/share/verilator
/usr/libexec/rpm-build/install -p -m 644 verilator-config-version.cmake /usr/src/tmp/verilator-buildroot/usr/share/verilator

Installed binaries to /usr/src/tmp/verilator-buildroot/usr/bin/verilator
Installed man to /usr/src/tmp/verilator-buildroot/usr/share/man/man1
Installed examples to /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples

For documentation see 'man verilator' or 'verilator --help'
For forums and to report bugs see https://verilator.org

make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018'
+ mkdir -p /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/
+ mv /usr/src/tmp/verilator-buildroot/usr/share/pkgconfig/verilator.pc /usr/src/tmp/verilator-buildroot/usr/lib64/pkgconfig/verilator.pc
+ mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/
+ mv /usr/src/tmp/verilator-buildroot/usr/share/verilator/examples /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator/
+ /usr/lib/rpm/brp-alt
Cleaning files in /usr/src/tmp/verilator-buildroot (auto)
Verifying and fixing files in /usr/src/tmp/verilator-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig)
Checking contents of files in /usr/src/tmp/verilator-buildroot/ (default)
Compressing files in /usr/src/tmp/verilator-buildroot (auto)
Adjusting library links in /usr/src/tmp/verilator-buildroot
./usr/lib64: (from :0)
debugedit: ./usr/bin/verilator_coverage_bin_dbg: DWARF version 0 unhandled
debugedit: ./usr/bin/verilator_bin_dbg: DWARF version 0 unhandled
Verifying ELF objects in /usr/src/tmp/verilator-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal)
Splitting links to aliased files under /{,s}bin in /usr/src/tmp/verilator-buildroot
Hardlinking identical .pyc and .opt-?.pyc files
Executing(%check): /bin/sh -e /usr/src/tmp/rpm-tmp.78898
+ umask 022
+ /bin/mkdir -p /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ cd verilator-5.018
+ make test
make: Entering directory '/usr/src/RPM/BUILD/verilator-5.018'
------------------------------------------------------------
making verilator in src
make -C src 
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src'
make -C obj_dbg -j 1  TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Nothing to be done for 'serial'.
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_dbg       TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
      Compile flags:  x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator"
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_dbg       TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make[2]: Nothing to be done for 'serial_vlcov'.
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_dbg       TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
      Compile flags:  x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator"
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_dbg'
make -C obj_opt -j 1  TGT=../../bin/verilator_bin -f ../Makefile_obj serial
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[2]: Nothing to be done for 'serial'.
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make -C obj_opt       TGT=../../bin/verilator_bin -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
      Compile flags:  x86_64-alt-linux-g++ -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator"
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src/obj_opt'
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/src'
test_regress/t/t_a1_first_cc.pl
======================================================================
dist/t_a1_first_cc: ==================================================
-Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test
dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test
==SUMMARY: Passed 0  Failed 0  Time 0:00
======================================================================
vlt/t_a1_first_cc: ==================================================
	perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V
Missing separate debuginfo for /usr/bin/echo.
Try to install the hash file /usr/lib/debug/.build-id/1d/7ee8d432d85a1403eefb2aa5161bf83a026859.debug
warning: File "/usr/src/RPM/BUILD/verilator-5.018/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load".
warning: opening /proc/self/mem file failed: Read-only file system (30)
warning: opening /proc/PID/mem file for lwp 244179.244179 failed: Read-only file system (30)
Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2.
Try to install the hash file /usr/lib/debug/.build-id/88/b2b2c02b915da7748f59030cdbabe00032fa62.debug
Missing separate debuginfo for /lib64/libc.so.6.
Try to install the hash file /usr/lib/debug/.build-id/97/aecaf3aeb712a8e66d84b5319d6cca2cf5528e.debug
No stack.
warning: File "/usr/src/RPM/BUILD/verilator-5.018/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load".
To enable execution of this file add
	add-auto-load-safe-path /usr/src/RPM/BUILD/verilator-5.018/test_regress/.gdbinit
line to your configuration file "/usr/src/.config/gdb/gdbinit".
To completely disable this security protection add
	set auto-load safe-path /
line to your configuration file "/usr/src/.config/gdb/gdbinit".
For more information about this security protection see the
"Auto-loading safe path" section in the GDB manual.  E.g., run from the shell:
	info "(gdb)Auto-loading safe path"
warning: opening /proc/self/mem file failed: Read-only file system (30)
warning: opening /proc/PID/mem file for lwp 247229.247229 failed: Read-only file system (30)
Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2.
Try to install the hash file /usr/lib/debug/.build-id/88/b2b2c02b915da7748f59030cdbabe00032fa62.debug
Missing separate debuginfo for /lib64/libstdc++.so.6.
Try to install the hash file /usr/lib/debug/.build-id/18/f3e825cdf1aa5bdb4cf3845d04c7dd7962cd24.debug
Missing separate debuginfo for /lib64/libm.so.6.
Try to install the hash file /usr/lib/debug/.build-id/fd/de9467d7fc34ead44d00d1e6bdd923895984a2.debug
Missing separate debuginfo for /lib64/libc.so.6.
Try to install the hash file /usr/lib/debug/.build-id/97/aecaf3aeb712a8e66d84b5319d6cca2cf5528e.debug
[Thread debugging using libthread_db enabled]
Using host libthread_db library "/lib64/libthread_db.so.1".
Missing separate debuginfo for /lib64/libgcc_s.so.1.
Try to install the hash file /usr/lib/debug/.build-id/7d/4235a17cb9325208546a9d570ef4c539578f80.debug
Starting Verilator 5.018 2023-10-30 rev UNKNOWN.REV
Starting Verilator 5.018 2023-10-30 rev UNKNOWN.REV
Verilator 5.018 2023-10-30 rev UNKNOWN.REV

Copyright 2003-2023 by Wilson Snyder.  Verilator is free software; you can
redistribute it and/or modify the Verilator internals under the terms of
either the GNU Lesser General Public License Version 3 or the Perl Artistic
License Version 2.0.

See https://verilator.org for documentation

Summary of configuration:
  Compiled in defaults if not in environment:
    SYSTEMC            = 
    SYSTEMC_ARCH       = 
    SYSTEMC_INCLUDE    = 
    SYSTEMC_LIBDIR     = 
    VERILATOR_ROOT     = /usr/share/verilator
    SystemC system-wide = 0

Environment:
    MAKE               = make
    PERL               = 
    SYSTEMC            = 
    SYSTEMC_ARCH       = 
    SYSTEMC_INCLUDE    = 
    SYSTEMC_LIBDIR     = 
    VERILATOR_BIN      = 
    VERILATOR_ROOT     = /usr/src/RPM/BUILD/verilator-5.018/test_regress/..

Supported features (compiled-in or forced by environment):
    COROUTINES         = 1
    SYSTEMC            = 
[Inferior 1 (process 247229) exited normally]
No stack.
	perl /usr/src/RPM/BUILD/verilator-5.018/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk  -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc +define+TEST_DUMPFILE=obj_vlt/t_a1_first_cc/simx.vcd t/t_a1_first_cc.v    > obj_vlt/t_a1_first_cc/vlt_compile.log
Missing separate debuginfo for /usr/bin/echo.
Try to install the hash file /usr/lib/debug/.build-id/1d/7ee8d432d85a1403eefb2aa5161bf83a026859.debug
warning: File "/usr/src/RPM/BUILD/verilator-5.018/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load".
warning: opening /proc/self/mem file failed: Read-only file system (30)
warning: opening /proc/PID/mem file for lwp 247807.247807 failed: Read-only file system (30)
Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2.
Try to install the hash file /usr/lib/debug/.build-id/88/b2b2c02b915da7748f59030cdbabe00032fa62.debug
Missing separate debuginfo for /lib64/libc.so.6.
Try to install the hash file /usr/lib/debug/.build-id/97/aecaf3aeb712a8e66d84b5319d6cca2cf5528e.debug
No stack.
warning: File "/usr/src/RPM/BUILD/verilator-5.018/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:/usr/lib64/gdb/auto-load:$datadir/auto-load".
To enable execution of this file add
	add-auto-load-safe-path /usr/src/RPM/BUILD/verilator-5.018/test_regress/.gdbinit
line to your configuration file "/usr/src/.config/gdb/gdbinit".
To completely disable this security protection add
	set auto-load safe-path /
line to your configuration file "/usr/src/.config/gdb/gdbinit".
For more information about this security protection see the
"Auto-loading safe path" section in the GDB manual.  E.g., run from the shell:
	info "(gdb)Auto-loading safe path"
warning: opening /proc/self/mem file failed: Read-only file system (30)
warning: opening /proc/PID/mem file for lwp 250386.250386 failed: Read-only file system (30)
Missing separate debuginfo for /lib64/ld-linux-x86-64.so.2.
Try to install the hash file /usr/lib/debug/.build-id/88/b2b2c02b915da7748f59030cdbabe00032fa62.debug
Missing separate debuginfo for /lib64/libstdc++.so.6.
Try to install the hash file /usr/lib/debug/.build-id/18/f3e825cdf1aa5bdb4cf3845d04c7dd7962cd24.debug
Missing separate debuginfo for /lib64/libm.so.6.
Try to install the hash file /usr/lib/debug/.build-id/fd/de9467d7fc34ead44d00d1e6bdd923895984a2.debug
Missing separate debuginfo for /lib64/libc.so.6.
Try to install the hash file /usr/lib/debug/.build-id/97/aecaf3aeb712a8e66d84b5319d6cca2cf5528e.debug
[Thread debugging using libthread_db enabled]
Using host libthread_db library "/lib64/libthread_db.so.1".
Missing separate debuginfo for /lib64/libgcc_s.so.1.
Try to install the hash file /usr/lib/debug/.build-id/7d/4235a17cb9325208546a9d570ef4c539578f80.debug
Starting Verilator 5.018 2023-10-30 rev UNKNOWN.REV
Starting Verilator 5.018 2023-10-30 rev UNKNOWN.REV
[Inferior 1 (process 250386) exited normally]
No stack.
	make -C obj_vlt/t_a1_first_cc -f /usr/src/RPM/BUILD/verilator-5.018/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC  OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc    > obj_vlt/t_a1_first_cc/vlt_gcc.log
driver: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/test_regress/obj_vlt/t_a1_first_cc'
x86_64-alt-linux-g++  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC   -DVL_LOCK_SPINS=10000 -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp
x86_64-alt-linux-g++ -O0  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC   -DVL_LOCK_SPINS=10000 -c -o verilated.o /usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/verilated.cpp
x86_64-alt-linux-g++ -O0  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC   -DVL_LOCK_SPINS=10000 -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/verilated_vcd_c.cpp
x86_64-alt-linux-g++ -O0  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC   -DVL_LOCK_SPINS=10000 -c -o verilated_threads.o /usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/verilated_threads.cpp
/usr/bin/python3 /usr/src/RPM/BUILD/verilator-5.018/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_hbad1107f__0.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp
x86_64-alt-linux-g++ -O0  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include -I/usr/src/RPM/BUILD/verilator-5.018/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC   -DVL_LOCK_SPINS=10000 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp
echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp
Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o
x86_64-alt-linux-g++     Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a1_first_cc__ALL.a    -pthread -lpthread -latomic   -o Vt_a1_first_cc
rm Vt_a1_first_cc__ALL.verilator_deplist.tmp
driver: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/test_regress/obj_vlt/t_a1_first_cc'
	obj_vlt/t_a1_first_cc/Vt_a1_first_cc    > obj_vlt/t_a1_first_cc/vlt_sim.log
*-* All Finished *-*
- t/t_a1_first_cc.v:17: Verilog $finish
vlt/t_a1_first_cc: Self PASSED
==SUMMARY: Passed 1  Failed 0  Time 0:08
==SUMMARY: Passed 1  Failed 0  Time 0:08

======================================================================
TESTS DONE, PASSED: Passed 1  Failed 0  Time 0:08
test_regress/t/t_a2_first_sc.pl
======================================================================
dist/t_a2_first_sc: ==================================================
-Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test
dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test
==SUMMARY: Passed 0  Failed 0  Time 0:00
======================================================================
vlt/t_a2_first_sc: ==================================================
-Skip: vlt/t_a2_first_sc: Test requires SystemC; ignore error since not installed

vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed
==SUMMARY: Passed 0  Failed 0  Skipped 1  Time 0:00
==SUMMARY: Passed 0  Failed 0  Skipped 1  Time 0:00

======================================================================
	#vlt/t_a2_first_sc: -Skip:  Skip: Test requires SystemC; ignore error since not installed
TESTS DONE, PASSED w/SKIPS: Passed 0  Failed 0  Skipped 1  Time 0:00
for p in examples/make_hello_c examples/make_hello_sc  examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_hello_binary examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \
  make -C $p VERILATOR_ROOT=`pwd` || exit 10; \
done
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_c'
-- Verilator hello-world simple example
-- VERILATE & BUILD --------
/usr/src/RPM/BUILD/verilator-5.018/bin/verilator -cc --exe --build -j top.v sim_main.cpp
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_c/obj_dir'
x86_64-alt-linux-g++  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -Os -c -o sim_main.o ../sim_main.cpp
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -c -o verilated.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated.cpp
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -c -o verilated_threads.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_threads.cpp
/usr/bin/python3 /usr/src/RPM/BUILD/verilator-5.018/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -c -o Vtop__ALL.o Vtop__ALL.cpp
echo "" > Vtop__ALL.verilator_deplist.tmp
Archive ar -rcs Vtop__ALL.a Vtop__ALL.o
x86_64-alt-linux-g++     sim_main.o verilated.o verilated_threads.o Vtop__ALL.a    -pthread -lpthread -latomic   -o Vtop
rm Vtop__ALL.verilator_deplist.tmp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_c/obj_dir'
-- RUN ---------------------
obj_dir/Vtop
Hello World!
- top.v:12: Verilog $finish
-- DONE --------------------
Note: Once this example is understood, see examples/make_tracing_c.
Note: See also https://verilator.org/guide/latest/examples.html
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_c'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_sc'

%Skip: SYSTEMC_INCLUDE not in environment
(If you have SystemC see the README, and rebuild Verilator)

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_sc'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_c'
which: no cmake in (/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games)
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_c'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_c'

%Skip: CMake has not been found

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_c'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_sc'
which: no cmake in (/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games)
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_sc'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_sc'

%Skip: CMake has not been found

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_hello_sc'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_protect_lib'
which: no cmake in (/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games)
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_protect_lib'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_protect_lib'

%Skip: CMake has not been found

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_protect_lib'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_c'
which: no cmake in (/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games)
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_c'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_c'

%Skip: CMake has not been found

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_c'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_sc'
which: no cmake in (/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games)
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_sc'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_sc'

%Skip: CMake has not been found

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/cmake_tracing_sc'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary'
-- Verilator hello-world simple binary example
-- VERILATE & BUILD --------
/usr/src/RPM/BUILD/verilator-5.018/bin/verilator --binary -j 0 top.v
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
echo "" > Vtop__ALL.verilator_deplist.tmp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
/usr/bin/python3 /usr/src/RPM/BUILD/verilator-5.018/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__main.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o Vtop__ALL.o Vtop__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
Archive ar -rcs Vtop__ALL.a Vtop__ALL.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o verilated_threads.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_threads.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o verilated.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
x86_64-alt-linux-g++     verilated.o verilated_threads.o Vtop__ALL.a    -pthread -lpthread -latomic   -o Vtop
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary/obj_dir'
rm Vtop__ALL.verilator_deplist.tmp
-- RUN ---------------------
obj_dir/Vtop
Hello World!
- top.v:12: Verilog $finish
-- DONE --------------------
Note: Once this example is understood, see examples/make_hello_c.
Note: See also https://verilator.org/guide/latest/examples.html
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_hello_binary'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib'

-- Verilator --protect-lib example -_--------------------------

-- VERILATE secret module -------------------------------------
 --protect-lib will produce both a static and shared library
 In this example the static library is used, but some
 simulators may require the shared library.
---------------------------------------------------------------
/usr/src/RPM/BUILD/verilator-5.018/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v

-- COMPILE protected library ----------------------------------
 This builds verilated_secret.sv, libverilated_secret.a and
 libverilated_secret.so which can be distributed apart from
 the source
---------------------------------------------------------------
make -j 4 -C obj_dir_secret -f Vsecret_impl.mk
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
/usr/bin/python3 /usr/src/RPM/BUILD/verilator-5.018/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSS5tk__DepSet_h66725ab2__0.cpp Vsecret_impl_PSS5tk__DepSet_h3bb72911__0.cpp Vsecret_impl_PSS5tk__Slow.cpp Vsecret_impl_PSS5tk__DepSet_h66725ab2__0__Slow.cpp Vsecret_impl_PSS5tk__DepSet_h3bb72911__0__Slow.cpp Vsecret_impl_PSB0ix.cpp > Vsecret_impl__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
echo "" > libverilated_secret.verilator_deplist.tmp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -fPIC -DVL_TIME_CONTEXT   -c -o verilated_secret.o verilated_secret.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -fPIC -DVL_TIME_CONTEXT   -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -fPIC -DVL_TIME_CONTEXT   -c -o verilated_threads.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_threads.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -fPIC -DVL_TIME_CONTEXT   -c -o verilated.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
x86_64-alt-linux-g++  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -fPIC -DVL_TIME_CONTEXT   -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir_secret'
rm libverilated_secret.verilator_deplist.tmp

-- VERILATE top module ----------------------------------------
 Use the SystemVerilog wrapper (verilated_secret.sv) and the
 library (libverilated_secret.a) generated from the previous
 step
---------------------------------------------------------------
/usr/src/RPM/BUILD/verilator-5.018/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp

-- COMPILE entire design --------------------------------------
make -j 4 -C obj_dir -f Vtop.mk
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -Os -c -o sim_main.o ../sim_main.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o verilated_dpi.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_dpi.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
/usr/bin/python3 /usr/src/RPM/BUILD/verilator-5.018/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
echo "" > Vtop__ALL.verilator_deplist.tmp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o verilated_threads.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_threads.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_vcd_c.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o Vtop__ALL.o Vtop__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
Archive ar -rcs Vtop__ALL.a Vtop__ALL.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable     -DVL_TIME_CONTEXT   -c -o verilated.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
x86_64-alt-linux-g++     sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a   ../obj_dir_secret/libverilated_secret.a  -pthread -lpthread -latomic   -o Vtop
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib/obj_dir'
rm Vtop__ALL.verilator_deplist.tmp

-- RUN --------------------------------------------------------
obj_dir/Vtop +trace
Enabling waves into logs/vlt_dump.vcd...
[1] TOP.top.secret.secret_impl: initialized
[3] cyc=0 a=0 b=0 x=2756837218
[5] cyc=1 a=5 b=7 x=9
[7] cyc=2 a=6 b=2 x=21
[9] cyc=3 a=1 b=9 x=17
[11] cyc=4 a=1 b=9 x=9
Done
- top.v:32: Verilog $finish

-- DONE -------------------------------------------------------
To see waveforms, open logs/vlt_dump.vcd in a waveform viewer

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_protect_lib'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c'

-- Verilator tracing example

-- VERILATE ----------------
/usr/src/RPM/BUILD/verilator-5.018/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp

-- BUILD -------------------
make -j -C obj_dir -f ../Makefile_obj
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
echo "" > Vtop__ALL.verilator_deplist.tmp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
/usr/bin/python3 /usr/src/RPM/BUILD/verilator-5.018/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -MMD -MP -DVL_DEBUG=1 -c -o verilated_threads.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_threads.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -MMD -MP -DVL_DEBUG=1 -c -o verilated_cov.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_cov.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -MMD -MP -DVL_DEBUG=1 -c -o verilated_vcd_c.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated_vcd_c.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++ -Os -fstrict-aliasing  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALL.o Vtop__ALL.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
Archive ar -rcs Vtop__ALL.a Vtop__ALL.o
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++ -Os  -I.  -MMD -I/usr/src/RPM/BUILD/verilator-5.018/include -I/usr/src/RPM/BUILD/verilator-5.018/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable       -MMD -MP -DVL_DEBUG=1 -c -o verilated.o /usr/src/RPM/BUILD/verilator-5.018/include/verilated.cpp
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
make[2]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
x86_64-alt-linux-g++     sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a    -pthread -lpthread -latomic   -o Vtop
make[2]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c/obj_dir'
rm Vtop__ALL.verilator_deplist.tmp

-- RUN ---------------------
obj_dir/Vtop +trace
[1] Tracing to logs/vlt_dump.vcd...

[1] Model running...

[1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112
[2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000
[3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000
[4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000
[5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000
[6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000
[7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000
[8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000
[9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000
[10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112
[11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112
[12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112
[13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112
[14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112
[15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112
[16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112
*-* All Finished *-*
- sub.v:29: Verilog $finish
[17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112

-- COVERAGE ----------------
/usr/src/RPM/BUILD/verilator-5.018/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat
Total coverage (2/28) 7.00%
See lines with '%00' in logs/annotated

-- DONE --------------------
To see waveforms, open vlt_dump.vcd in a waveform viewer

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_c'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_sc'

%Skip: SYSTEMC_INCLUDE not in environment
(If you have SystemC see the README, and rebuild Verilator)

make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/make_tracing_sc'
make[1]: Entering directory '/usr/src/RPM/BUILD/verilator-5.018/examples/xml_py'
-- vl_file_copy example
python3 vl_file_copy -odir copied top.v
NOTE: vl_file_copy is only an example starting point for writing your own tool.
-- vl_hier_graph example
python3 vl_hier_graph -o graph.dot top.v
NOTE: vl_hier_graph is only an example starting point for writing your own tool.
Manually run:  dot -Tpdf -o graph.pdf graph.dot
make[1]: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018/examples/xml_py'
Tests passed!

Now type 'make install' to install.
Or type 'make' inside an examples subdirectory.

make: Leaving directory '/usr/src/RPM/BUILD/verilator-5.018'
+ exit 0
Processing files: verilator-5.018-alt1
Finding Provides (using /usr/lib/rpm/find-provides)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.tWE5jk
find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell)
Finding Requires (using /usr/lib/rpm/find-requires)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.jpbkuY
find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services)
/usr/src/tmp/verilator-buildroot/usr/bin/verilator syntax OK
/usr/src/tmp/verilator-buildroot/usr/bin/verilator_coverage syntax OK
py3req:/usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_difftree: skipping "sys" lines:[10]
py3req:/usr/src/tmp/verilator-buildroot/usr/share/verilator/bin/verilator_includer: skipping "sys" lines:[13]

find-requires: FINDPACKAGE-COMMANDS: perl python3
Provides: pkgconfig(verilator) = 5.018
Requires: /lib64/ld-linux-x86-64.so.2, /usr/bin/env, /usr/lib64/pkgconfig, libc.so.6(GLIBC_2.14)(64bit), libc.so.6(GLIBC_2.2.5)(64bit), libc.so.6(GLIBC_2.3.2)(64bit), libc.so.6(GLIBC_2.3.4)(64bit), libc.so.6(GLIBC_2.32)(64bit), libc.so.6(GLIBC_2.33)(64bit), libc.so.6(GLIBC_2.34)(64bit), libc.so.6(GLIBC_2.35)(64bit), libc.so.6(GLIBC_2.38)(64bit), libc.so.6(GLIBC_2.4)(64bit), libm.so.6(GLIBC_2.2.5)(64bit), libm.so.6(GLIBC_2.29)(64bit), libm.so.6(GLIBC_2.35)(64bit), libstdc++.so.6(CXXABI_1.3)(64bit), libstdc++.so.6(CXXABI_1.3.11)(64bit), libstdc++.so.6(CXXABI_1.3.13)(64bit), libstdc++.so.6(CXXABI_1.3.2)(64bit), libstdc++.so.6(CXXABI_1.3.3)(64bit), libstdc++.so.6(CXXABI_1.3.5)(64bit), libstdc++.so.6(CXXABI_1.3.8)(64bit), libstdc++.so.6(CXXABI_1.3.9)(64bit), libstdc++.so.6(GLIBCXX_3.4)(64bit), libstdc++.so.6(GLIBCXX_3.4.11)(64bit), libstdc++.so.6(GLIBCXX_3.4.14)(64bit), libstdc++.so.6(GLIBCXX_3.4.15)(64bit), libstdc++.so.6(GLIBCXX_3.4.17)(64bit), libstdc++.so.6(GLIBCXX_3.4.18)(64bit), libstdc++.so.6(GLIBCXX_3.4.19)(64bit), libstdc++.so.6(GLIBCXX_3.4.20)(64bit), libstdc++.so.6(GLIBCXX_3.4.21)(64bit), libstdc++.so.6(GLIBCXX_3.4.22)(64bit), libstdc++.so.6(GLIBCXX_3.4.26)(64bit), libstdc++.so.6(GLIBCXX_3.4.29)(64bit), libstdc++.so.6(GLIBCXX_3.4.30)(64bit), libstdc++.so.6(GLIBCXX_3.4.9)(64bit), perl(Cwd.pm), perl(FindBin.pm), perl(Getopt/Long.pm), perl(IO/File.pm), perl(Pod/Usage.pm), perl-base, python3, python3(argparse) < 0, python3(bisect) < 0, python3(collections) < 0, python3(datetime) < 0, python3(glob) < 0, python3(math) < 0, python3(os.path) < 0, python3(pathlib) < 0, python3(re) < 0, python3(statistics) < 0, rtld(GNU_HASH)
Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.jp6ABR
Creating verilator-debuginfo package
Processing files: verilator-doc-5.018-alt1
Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.88472
+ umask 022
+ /bin/mkdir -p /usr/src/RPM/BUILD
+ cd /usr/src/RPM/BUILD
+ cd verilator-5.018
+ DOCDIR=/usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-5.018
+ export DOCDIR
+ rm -rf /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-5.018
+ /bin/mkdir -p /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-5.018
+ cp -prL verilator.pdf docs/_build/html /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-5.018
+ chmod -R go-w /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-5.018
+ chmod -R a+rX /usr/src/tmp/verilator-buildroot/usr/share/doc/verilator-doc-5.018
+ exit 0
Finding Provides (using /usr/lib/rpm/find-provides)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.gKjynQ
find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell)
Finding Requires (using /usr/lib/rpm/find-requires)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.hRsfPM
find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services)
Processing files: verilator-debuginfo-5.018-alt1
Finding Provides (using /usr/lib/rpm/find-provides)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.W8DHPH
find-provides: running scripts (debuginfo)
Finding Requires (using /usr/lib/rpm/find-requires)
Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.QPE9uM
find-requires: running scripts (debuginfo)
Requires: verilator = 5.018-alt1, /usr/lib/debug/lib64/ld-linux-x86-64.so.2.debug, debug64(libc.so.6), debug64(libm.so.6), debug64(libstdc++.so.6)
Adding to verilator-debuginfo a strict dependency on verilator
Wrote: /usr/src/RPM/RPMS/x86_64/verilator-5.018-alt1.x86_64.rpm (w2T16.xzdio)
Wrote: /usr/src/RPM/RPMS/noarch/verilator-doc-5.018-alt1.noarch.rpm (w2.lzdio)
Wrote: /usr/src/RPM/RPMS/x86_64/verilator-debuginfo-5.018-alt1.x86_64.rpm (w2T16.xzdio)
1135.63user 145.79system 2:41.83elapsed 791%CPU (0avgtext+0avgdata 861148maxresident)k
0inputs+0outputs (0major+31089952minor)pagefaults 0swaps
7.60user 4.48system 5:11.98elapsed 3%CPU (0avgtext+0avgdata 132152maxresident)k
2456inputs+0outputs (0major+343866minor)pagefaults 0swaps
--- verilator-5.018-alt1.x86_64.rpm.repo	2023-11-24 15:00:04.000000000 +0000
+++ verilator-5.018-alt1.x86_64.rpm.hasher	2024-05-04 03:11:12.808225450 +0000
@@ -135,6 +135,6 @@
 File: /usr/bin/verilator	100755	root:root	499fd31cef2975fe78ae7ce7ecc69be7	
-File: /usr/bin/verilator_bin	100755	root:root	52032adfabf404f1399a9aa4d67577da	
-File: /usr/bin/verilator_bin_dbg	100755	root:root	9e922f266619f9a094d68bccce463910	
+File: /usr/bin/verilator_bin	100755	root:root	282bb1d583e29d969e15b46424254dbd	
+File: /usr/bin/verilator_bin_dbg	100755	root:root	c5c5f4484a1e30020d5cdcb0fcd16c0f	
 File: /usr/bin/verilator_coverage	100755	root:root	063e93e96f46698678af2b0e5d072998	
-File: /usr/bin/verilator_coverage_bin_dbg	100755	root:root	2811f9b146a4cd2e1bf0e3677367bbea	
+File: /usr/bin/verilator_coverage_bin_dbg	100755	root:root	33c90f12736127472a85076b4d4a8268	
 File: /usr/bin/verilator_gantt	100755	root:root	a6bdbe6136b704b8db2bc317119799f4	
@@ -142,4 +142,4 @@
 File: /usr/lib64/pkgconfig/verilator.pc	100644	root:root	506d58c3bff4c4e250dde4b09ab168ce	
-File: /usr/share/man/man1/verilator.1.xz	100644	root:root	362948f0d09c5a2ba1131b6d00bf7fd8	
-File: /usr/share/man/man1/verilator_coverage.1.xz	100644	root:root	0075a9ae234c5d454a255fe013b78a0d	
+File: /usr/share/man/man1/verilator.1.xz	100644	root:root	e882192ce282048c809b8fa11df046d7	
+File: /usr/share/man/man1/verilator_coverage.1.xz	100644	root:root	f68ad8a3616f8e0b1c1f137d2db52413	
 File: /usr/share/man/man1/verilator_gantt.1.xz	100644	root:root	beaec0aab70b54f11820bd29da770b60	
@@ -209,2 +209,2 @@
 File: /usr/share/verilator/verilator-config.cmake	100644	root:root	3b904212bedf5e6de8966bdde54632b3	
-RPMIdentity: 8ddeddbcd75853921563c471e1342045f07d86a72630df9c91e7c3a5d074aa9583a1ce38343cc5dea2b5e3bfee32fa2a1dee94794bb9980e4db7fb41f401cdb7
+RPMIdentity: bea5e2205f198e48680a0725e2b335db5c3ee2b4203b1187a530310de4984bb63a28fcec4afd6773bc7b148dafe9514b154cc03b80b01cd990a119b8b4b74286
--- verilator-debuginfo-5.018-alt1.x86_64.rpm.repo	2023-11-24 15:00:04.000000000 +0000
+++ verilator-debuginfo-5.018-alt1.x86_64.rpm.hasher	2024-05-04 03:11:12.922227414 +0000
@@ -1,10 +1,10 @@
-/usr/lib/debug/.build-id/0b	40755	root:root	
-/usr/lib/debug/.build-id/0b/9bf5ddb88051ae5dca210bff59cc440410e764	120777	root:root	../../../../bin/verilator_bin
-/usr/lib/debug/.build-id/0b/9bf5ddb88051ae5dca210bff59cc440410e764.debug	120777	root:root	../../usr/bin/verilator_bin.debug
-/usr/lib/debug/.build-id/7a	40755	root:root	
-/usr/lib/debug/.build-id/7a/624043d0158cdd5504164c5774f95487d6b723	120777	root:root	../../../../bin/verilator_coverage_bin_dbg
-/usr/lib/debug/.build-id/7a/624043d0158cdd5504164c5774f95487d6b723.debug	120777	root:root	../../usr/bin/verilator_coverage_bin_dbg.debug
-/usr/lib/debug/.build-id/d2	40755	root:root	
-/usr/lib/debug/.build-id/d2/171e622006ce0a0cba7e7338481a7220dccb3a	120777	root:root	../../../../bin/verilator_bin_dbg
-/usr/lib/debug/.build-id/d2/171e622006ce0a0cba7e7338481a7220dccb3a.debug	120777	root:root	../../usr/bin/verilator_bin_dbg.debug
+/usr/lib/debug/.build-id/27	40755	root:root	
+/usr/lib/debug/.build-id/27/8beeae27bc23789bf722155ee6c0eec4acfff3	120777	root:root	../../../../bin/verilator_coverage_bin_dbg
+/usr/lib/debug/.build-id/27/8beeae27bc23789bf722155ee6c0eec4acfff3.debug	120777	root:root	../../usr/bin/verilator_coverage_bin_dbg.debug
+/usr/lib/debug/.build-id/4b	40755	root:root	
+/usr/lib/debug/.build-id/4b/c61627b178988b1707a20b1be0dd3e01149b3e	120777	root:root	../../../../bin/verilator_bin_dbg
+/usr/lib/debug/.build-id/4b/c61627b178988b1707a20b1be0dd3e01149b3e.debug	120777	root:root	../../usr/bin/verilator_bin_dbg.debug
+/usr/lib/debug/.build-id/5e	40755	root:root	
+/usr/lib/debug/.build-id/5e/be2b896b678938b30d8323c7bbb19dafaf7434	120777	root:root	../../../../bin/verilator_bin
+/usr/lib/debug/.build-id/5e/be2b896b678938b30d8323c7bbb19dafaf7434.debug	120777	root:root	../../usr/bin/verilator_bin.debug
 /usr/lib/debug/usr/bin/verilator_bin.debug	100644	root:root	
@@ -338,14 +338,14 @@
 Provides: verilator-debuginfo = 5.018-alt1:sisyphus+335057.200.2.1
-File: /usr/lib/debug/.build-id/0b	40755	root:root		
-File: /usr/lib/debug/.build-id/0b/9bf5ddb88051ae5dca210bff59cc440410e764	120777	root:root		../../../../bin/verilator_bin
-File: /usr/lib/debug/.build-id/0b/9bf5ddb88051ae5dca210bff59cc440410e764.debug	120777	root:root		../../usr/bin/verilator_bin.debug
-File: /usr/lib/debug/.build-id/7a	40755	root:root		
-File: /usr/lib/debug/.build-id/7a/624043d0158cdd5504164c5774f95487d6b723	120777	root:root		../../../../bin/verilator_coverage_bin_dbg
-File: /usr/lib/debug/.build-id/7a/624043d0158cdd5504164c5774f95487d6b723.debug	120777	root:root		../../usr/bin/verilator_coverage_bin_dbg.debug
-File: /usr/lib/debug/.build-id/d2	40755	root:root		
-File: /usr/lib/debug/.build-id/d2/171e622006ce0a0cba7e7338481a7220dccb3a	120777	root:root		../../../../bin/verilator_bin_dbg
-File: /usr/lib/debug/.build-id/d2/171e622006ce0a0cba7e7338481a7220dccb3a.debug	120777	root:root		../../usr/bin/verilator_bin_dbg.debug
-File: /usr/lib/debug/usr/bin/verilator_bin.debug	100644	root:root	535c438ab5c64eeb02850b99261f2b61	
-File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug	100644	root:root	5e65011e7caf17d3a3c58444b3ae34c4	
-File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug	100644	root:root	8642bbe1ddb5e9b6630eba95d48ad0f2	
+File: /usr/lib/debug/.build-id/27	40755	root:root		
+File: /usr/lib/debug/.build-id/27/8beeae27bc23789bf722155ee6c0eec4acfff3	120777	root:root		../../../../bin/verilator_coverage_bin_dbg
+File: /usr/lib/debug/.build-id/27/8beeae27bc23789bf722155ee6c0eec4acfff3.debug	120777	root:root		../../usr/bin/verilator_coverage_bin_dbg.debug
+File: /usr/lib/debug/.build-id/4b	40755	root:root		
+File: /usr/lib/debug/.build-id/4b/c61627b178988b1707a20b1be0dd3e01149b3e	120777	root:root		../../../../bin/verilator_bin_dbg
+File: /usr/lib/debug/.build-id/4b/c61627b178988b1707a20b1be0dd3e01149b3e.debug	120777	root:root		../../usr/bin/verilator_bin_dbg.debug
+File: /usr/lib/debug/.build-id/5e	40755	root:root		
+File: /usr/lib/debug/.build-id/5e/be2b896b678938b30d8323c7bbb19dafaf7434	120777	root:root		../../../../bin/verilator_bin
+File: /usr/lib/debug/.build-id/5e/be2b896b678938b30d8323c7bbb19dafaf7434.debug	120777	root:root		../../usr/bin/verilator_bin.debug
+File: /usr/lib/debug/usr/bin/verilator_bin.debug	100644	root:root	46595f60c8485fbbb596c62c3639e0ec	
+File: /usr/lib/debug/usr/bin/verilator_bin_dbg.debug	100644	root:root	20fe29b39a5face25aec4e1eb5ccaa18	
+File: /usr/lib/debug/usr/bin/verilator_coverage_bin_dbg.debug	100644	root:root	31842e495b986a6bbcf87f1dc80f5a4a	
 File: /usr/src/debug/verilator-5.018	40755	root:root		
@@ -669,2 +669,2 @@
 File: /usr/src/debug/verilator-5.018/src/verilog.l	100644	root:root	e2b382b9cf48b67fabf39cfb4bc58c97	
-RPMIdentity: aec5d52e156da7d14a981d3b0c074559c3371289f5cce52f5d844ad922eaf0711cae1961d9aff989820411b1c84e63f7332f450f38ee26d77c01f0cf34460b3e
+RPMIdentity: 571b017fcb3562e3a27bc3481a68828281f7fc7a7e2f475373c35dd7b936ebc2e31e23631d899e1d66b2f13c1104f9d375f55a7c4c776bbcc1b05caf8737101e
--- verilator-doc-5.018-alt1.noarch.rpm.repo	2023-11-24 15:00:04.000000000 +0000
+++ verilator-doc-5.018-alt1.noarch.rpm.hasher	2024-05-04 03:11:13.026229205 +0000
@@ -140,3 +140,3 @@
 File: /usr/share/doc/verilator-doc-5.018/html/_static	40755	root:root		
-File: /usr/share/doc/verilator-doc-5.018/html/_static/basic.css	100644	root:root	a0cb70d214875f80d6a10ef9dfc566fb	
+File: /usr/share/doc/verilator-doc-5.018/html/_static/basic.css	100644	root:root	4fa4e11bbbc3522f998b7bcb47f7204f	
 File: /usr/share/doc/verilator-doc-5.018/html/_static/css	40755	root:root		
@@ -164,3 +164,3 @@
 File: /usr/share/doc/verilator-doc-5.018/html/_static/doctools.js	100644	root:root	c11d2de693be9fb96bc1c8a9a35d4002	
-File: /usr/share/doc/verilator-doc-5.018/html/_static/documentation_options.js	100644	root:root	89e0f46724c695c7504fc5e6ccea20c9	
+File: /usr/share/doc/verilator-doc-5.018/html/_static/documentation_options.js	100644	root:root	38b8b0ba48a337e15ad23aa524542fd8	
 File: /usr/share/doc/verilator-doc-5.018/html/_static/file.png	100644	root:root	ba0c95766a77a6c598a7ca542f1db738	
@@ -173,4 +173,4 @@
 File: /usr/share/doc/verilator-doc-5.018/html/_static/pygments.css	100644	root:root	3416c393be0558baff9eda53c1d12695	
-File: /usr/share/doc/verilator-doc-5.018/html/_static/searchtools.js	100644	root:root	f4fe50190d6b403ffad3ec5eba106c8d	
-File: /usr/share/doc/verilator-doc-5.018/html/_static/sphinx_highlight.js	100644	root:root	a8ea7f7da3c318a4ed65a632ae6a0b57	
+File: /usr/share/doc/verilator-doc-5.018/html/_static/searchtools.js	100644	root:root	98b20ba46411deefa7efa94524097c2c	
+File: /usr/share/doc/verilator-doc-5.018/html/_static/sphinx_highlight.js	100644	root:root	0cd5ef6415c4af33c6faf75b54102cf5	
 File: /usr/share/doc/verilator-doc-5.018/html/_static/verilator_192_150_min.png	100644	root:root	990700d133c5d9148fa7b445bc0f47c0	
@@ -178,36 +178,36 @@
 File: /usr/share/doc/verilator-doc-5.018/html/_static/verilator_logo.png	100644	root:root	9c973bfac3282b58fd3a36bce4784394	
-File: /usr/share/doc/verilator-doc-5.018/html/changes.html	100644	root:root	6841b3c029cfe6a69b7781fe1734f341	
-File: /usr/share/doc/verilator-doc-5.018/html/connecting.html	100644	root:root	d27d7444d99789b91f625fc63b48dfa4	
-File: /usr/share/doc/verilator-doc-5.018/html/contributing.html	100644	root:root	73a1c885f5fe0e0ae58bc50bebf9f367	
-File: /usr/share/doc/verilator-doc-5.018/html/contributors.html	100644	root:root	c12c0856cdbaa51ccd6802cca5fb7117	
-File: /usr/share/doc/verilator-doc-5.018/html/copyright.html	100644	root:root	bf9ee53153e11b39654ed8712260688a	
-File: /usr/share/doc/verilator-doc-5.018/html/deprecations.html	100644	root:root	55b7c2de9f8d6601c5bdd1bde66f2c61	
-File: /usr/share/doc/verilator-doc-5.018/html/environment.html	100644	root:root	6d167527c87b2f32cc90848a8b8368e2	
-File: /usr/share/doc/verilator-doc-5.018/html/example_binary.html	100644	root:root	e01085951dce3863cfb7245466e086b7	
-File: /usr/share/doc/verilator-doc-5.018/html/example_cc.html	100644	root:root	bcc8f68dd94daa867151022a0769a12c	
-File: /usr/share/doc/verilator-doc-5.018/html/example_common_install.html	100644	root:root	204595b8462afae79ea9ab966bbf4ed4	
-File: /usr/share/doc/verilator-doc-5.018/html/example_dist.html	100644	root:root	2e49d663d383979ba181797726969cb6	
-File: /usr/share/doc/verilator-doc-5.018/html/example_sc.html	100644	root:root	19634492e5e5b0476f696bc52c7aec4d	
-File: /usr/share/doc/verilator-doc-5.018/html/examples.html	100644	root:root	5f9703fe96730f8960bbe740ec33fcc7	
-File: /usr/share/doc/verilator-doc-5.018/html/exe_sim.html	100644	root:root	506ee7d71e467a15dcce3e2b61c79229	
-File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator.html	100644	root:root	d76f05b246550741a0e122579c63bda5	
-File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator_coverage.html	100644	root:root	6b16ebda013add42c369befd12da6c85	
-File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator_gantt.html	100644	root:root	8b67eb45c6d174978a33015c6c61ec10	
-File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator_profcfunc.html	100644	root:root	ed549c91f16a2456c96e5fcf7f42d946	
-File: /usr/share/doc/verilator-doc-5.018/html/executables.html	100644	root:root	4f89846677b78687e0321ac37a2a5362	
-File: /usr/share/doc/verilator-doc-5.018/html/extensions.html	100644	root:root	33c31c3ff21eca1c0f315d8ac81de168	
-File: /usr/share/doc/verilator-doc-5.018/html/faq.html	100644	root:root	c4d16debb61ab8cbcf1e37da3f782e71	
-File: /usr/share/doc/verilator-doc-5.018/html/files.html	100644	root:root	81d56cb02a0ee681b9ceaba6f28566ab	
-File: /usr/share/doc/verilator-doc-5.018/html/index.html	100644	root:root	01e06abe60d6128b1418458054fdb2b0	
-File: /usr/share/doc/verilator-doc-5.018/html/install-cmake.html	100644	root:root	050279b837fec5f3e138254a1f25a249	
-File: /usr/share/doc/verilator-doc-5.018/html/install.html	100644	root:root	5c02093dc90184a49def1ecf2e9f2f5b	
-File: /usr/share/doc/verilator-doc-5.018/html/languages.html	100644	root:root	bd6e2bc79c258eb64770a2748d1ef271	
+File: /usr/share/doc/verilator-doc-5.018/html/changes.html	100644	root:root	f8ee8367c5c7fff988282c6da5354fef	
+File: /usr/share/doc/verilator-doc-5.018/html/connecting.html	100644	root:root	e9b0dde4d2e72555d022ddfa353e2556	
+File: /usr/share/doc/verilator-doc-5.018/html/contributing.html	100644	root:root	46e0d861ecc1a87906e00567cb788dc6	
+File: /usr/share/doc/verilator-doc-5.018/html/contributors.html	100644	root:root	e47269dae18e25983258e041893c2514	
+File: /usr/share/doc/verilator-doc-5.018/html/copyright.html	100644	root:root	57792295c9fdaa25d24b359930b86144	
+File: /usr/share/doc/verilator-doc-5.018/html/deprecations.html	100644	root:root	b7ac693b7a6fd218302a8fa2ff2f1a14	
+File: /usr/share/doc/verilator-doc-5.018/html/environment.html	100644	root:root	182d537ee3263351effca7f3390b0840	
+File: /usr/share/doc/verilator-doc-5.018/html/example_binary.html	100644	root:root	58575c92a496f9e9f6d3e04a00a5c5b8	
+File: /usr/share/doc/verilator-doc-5.018/html/example_cc.html	100644	root:root	b39654cdef2c127bde5ceef377dd377a	
+File: /usr/share/doc/verilator-doc-5.018/html/example_common_install.html	100644	root:root	d6bf46346b6ab52dc28e65e2a7c0cc6c	
+File: /usr/share/doc/verilator-doc-5.018/html/example_dist.html	100644	root:root	6b418a778942f2b3ed55b60b7f0bec7c	
+File: /usr/share/doc/verilator-doc-5.018/html/example_sc.html	100644	root:root	fe2266f81e676d85d0e8414cf49ba094	
+File: /usr/share/doc/verilator-doc-5.018/html/examples.html	100644	root:root	a0ffa5574162bea672e572e08d887bad	
+File: /usr/share/doc/verilator-doc-5.018/html/exe_sim.html	100644	root:root	0cdbb0def668e98d18645e41b50efe19	
+File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator.html	100644	root:root	9cbabc4cb832f12257c49259340baa41	
+File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator_coverage.html	100644	root:root	72f76313ece8cde8b055b3cadb77f584	
+File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator_gantt.html	100644	root:root	541ed209ad1a02a57bbeb95262b6eede	
+File: /usr/share/doc/verilator-doc-5.018/html/exe_verilator_profcfunc.html	100644	root:root	0bd6de37254ff8a0ddd9ecaef3c2e607	
+File: /usr/share/doc/verilator-doc-5.018/html/executables.html	100644	root:root	d2070d4e4493f97fc0d6b61731e74b37	
+File: /usr/share/doc/verilator-doc-5.018/html/extensions.html	100644	root:root	23f61ead8f833a15465fc13af174a1e4	
+File: /usr/share/doc/verilator-doc-5.018/html/faq.html	100644	root:root	82e66f7cb561317b863ceb30daf64582	
+File: /usr/share/doc/verilator-doc-5.018/html/files.html	100644	root:root	12efa58635cf3daf026bddb286f94743	
+File: /usr/share/doc/verilator-doc-5.018/html/index.html	100644	root:root	37e1c601cc35baa7e67f0f2be497ff8c	
+File: /usr/share/doc/verilator-doc-5.018/html/install-cmake.html	100644	root:root	f25b6850cf6467e1937700ff7058e506	
+File: /usr/share/doc/verilator-doc-5.018/html/install.html	100644	root:root	3a806c10a6dd67eb0d140d4c26e2c6f3	
+File: /usr/share/doc/verilator-doc-5.018/html/languages.html	100644	root:root	9913f026984ee902ccb36d3f1f72dac8	
 File: /usr/share/doc/verilator-doc-5.018/html/objects.inv	100644	root:root	abfb3fc77a80c17347dd555cfbc3b81e	
-File: /usr/share/doc/verilator-doc-5.018/html/overview.html	100644	root:root	29e3673c2204cba603dddef483de1a56	
-File: /usr/share/doc/verilator-doc-5.018/html/search.html	100644	root:root	dd3de86061efff87b84261cc33fd9243	
-File: /usr/share/doc/verilator-doc-5.018/html/searchindex.js	100644	root:root	2edbe5d98f41f2c0d5e6a4e34d6c35f7	
-File: /usr/share/doc/verilator-doc-5.018/html/simulating.html	100644	root:root	a7e5409f135ecbd4058f6f88a1aab952	
-File: /usr/share/doc/verilator-doc-5.018/html/verilating.html	100644	root:root	0379390dcb08c472285b340766bbd8f8	
-File: /usr/share/doc/verilator-doc-5.018/html/warnings.html	100644	root:root	d0ce1cd7ecd2951120a4109db05046d7	
-File: /usr/share/doc/verilator-doc-5.018/verilator.pdf	100644	root:root	948ba6f81644fcadd36989feaf228fe6	
+File: /usr/share/doc/verilator-doc-5.018/html/overview.html	100644	root:root	9474593f11a4cd59dd5fba389ffb22d6	
+File: /usr/share/doc/verilator-doc-5.018/html/search.html	100644	root:root	2b51bd5e81f2bc819ed33ae4360c955c	
+File: /usr/share/doc/verilator-doc-5.018/html/searchindex.js	100644	root:root	a25be4fa091caaca2c31a3d14f329f3e	
+File: /usr/share/doc/verilator-doc-5.018/html/simulating.html	100644	root:root	8c86e482e78069d328693845d4da2485	
+File: /usr/share/doc/verilator-doc-5.018/html/verilating.html	100644	root:root	c2df5feb992fa2e5766141196a33e825	
+File: /usr/share/doc/verilator-doc-5.018/html/warnings.html	100644	root:root	feb20983e1fa0fcf2fbab995f8c397d2	
+File: /usr/share/doc/verilator-doc-5.018/verilator.pdf	100644	root:root	059c511e3a68311230087c2664f89b4e	
 File: /usr/share/doc/verilator/examples	40755	root:root		
@@ -264,2 +264,2 @@
 File: /usr/share/doc/verilator/examples/xml_py/vl_hier_graph	100644	root:root	0fc369cd1d7fd43bdfc074c7872ca668	
-RPMIdentity: bb16fcd1c62ca17010218ea4683e8f1cd595648246ced408a108624cbb4b9d5ae67581d2b7d7d9fd85114027f5a4f0c2ed567a8f21611d02f479c44c5b1226e6
+RPMIdentity: 400d0187ef6dd3819ee551a2b363015b62e96aa4b6826fa3bd216f2bdb5e6826ed9f66558320a067d6bfce18ff24a79995a5b6b5a838a3e33f0123d619e020da