<86>Apr 27 11:37:54 userdel[2736617]: delete user 'rooter' <86>Apr 27 11:37:54 userdel[2736617]: removed group 'rooter' owned by 'rooter' <86>Apr 27 11:37:54 userdel[2736617]: removed shadow group 'rooter' owned by 'rooter' <86>Apr 27 11:37:54 groupadd[2736688]: group added to /etc/group: name=rooter, GID=1829 <86>Apr 27 11:37:54 groupadd[2736688]: group added to /etc/gshadow: name=rooter <86>Apr 27 11:37:54 groupadd[2736688]: new group: name=rooter, GID=1829 <86>Apr 27 11:37:54 useradd[2736737]: new user: name=rooter, UID=1829, GID=1829, home=/root, shell=/bin/bash, from=none <86>Apr 27 11:37:54 userdel[2736854]: delete user 'builder' <86>Apr 27 11:37:54 userdel[2736854]: removed group 'builder' owned by 'builder' <86>Apr 27 11:37:54 userdel[2736854]: removed shadow group 'builder' owned by 'builder' <86>Apr 27 11:37:54 groupadd[2737005]: group added to /etc/group: name=builder, GID=1830 <86>Apr 27 11:37:54 groupadd[2737005]: group added to /etc/gshadow: name=builder <86>Apr 27 11:37:54 groupadd[2737005]: new group: name=builder, GID=1830 <86>Apr 27 11:37:54 useradd[2737093]: new user: name=builder, UID=1830, GID=1830, home=/usr/src, shell=/bin/bash, from=none <13>Apr 27 11:37:57 rpmi: libmpdec3-2.5.1-alt3 sisyphus+314490.500.5.1 1675432033 installed <13>Apr 27 11:37:57 rpmi: libgdbm-1.8.3-alt10 sisyphus+278100.1600.1.1 1626059138 installed <13>Apr 27 11:37:57 rpmi: libexpat-2.5.0-alt1 sisyphus+309227.100.1.1 1667075766 installed <13>Apr 27 11:37:57 rpmi: libb2-0.98.1-alt1_1 sisyphus+291614.100.1.1 1638962878 installed <13>Apr 27 11:37:57 rpmi: libp11-kit-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645575 installed <13>Apr 27 11:37:57 rpmi: libtasn1-4.19.0-alt3 sisyphus+327816.100.1.1 1692802618 installed <13>Apr 27 11:37:57 rpmi: rpm-macros-alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>Apr 27 11:37:57 rpmi: alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>Apr 27 11:37:57 rpmi: ca-certificates-2024.02.24-alt1 sisyphus+341362.100.1.1 1708791001 installed <13>Apr 27 11:37:57 rpmi: ca-trust-0.2.0-alt1 sisyphus+344843.100.1.1 1712743326 installed <13>Apr 27 11:37:57 rpmi: p11-kit-trust-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645575 installed <13>Apr 27 11:37:57 rpmi: libcrypto3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982452 installed <13>Apr 27 11:37:57 rpmi: libssl3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982452 installed <13>Apr 27 11:37:57 rpmi: python3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:37:58 rpmi: python3-base-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:37:58 rpmi: python3-module-py3dephell-0.1.0-alt2 sisyphus+328191.600.5.1 1693609196 installed <13>Apr 27 11:37:58 rpmi: tests-for-installed-python3-pkgs-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>Apr 27 11:37:58 rpmi: rpm-build-python3-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>Apr 27 11:37:58 rpmi: rpm-macros-systemd-5-alt4 sisyphus+321882.100.1.1 1685089978 installed <13>Apr 27 11:38:00 rpmi: python3-module-six-1.16.0-alt2 sisyphus+324249.100.1.1 1688484676 installed <13>Apr 27 11:38:00 rpmi: libyaml2-0.2.5-alt1 sisyphus+278391.100.1.1 1626094932 installed <13>Apr 27 11:38:00 rpmi: python3-module-yaml-6.0.1-alt1.1 sisyphus+333513.45640.73.1 1704640302 installed <13>Apr 27 11:38:00 rpmi: libpython3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:38:00 rpmi: libncurses6-6.3.20220618-alt4 sisyphus+327286.4600.14.1 1711486782 installed <13>Apr 27 11:38:00 rpmi: libtinfo-devel-6.3.20220618-alt4 sisyphus+327286.4600.14.1 1711486782 installed <13>Apr 27 11:38:00 rpmi: libncurses-devel-6.3.20220618-alt4 sisyphus+327286.4600.14.1 1711486782 installed <13>Apr 27 11:38:01 rpmi: python3-dev-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:38:01 rpmi: python3-module-pyaml-21.10.1-alt2 sisyphus+339438.100.1.1 1706658347 installed <13>Apr 27 11:38:01 rpmi: python3-module-dateutil-2.8.3-alt0.git0586f4a sisyphus+338928.600.2.1 1706746480 installed <13>Apr 27 11:38:01 rpmi: openssl-3.1.5-alt2 sisyphus+343320.140.3.1 1711982452 installed Building target platforms: i586 Building for target i586 Wrote: /usr/src/in/nosrpm/vdsm-4.50.6-alt1.1.nosrc.rpm (w1.gzdio) Installing vdsm-4.50.6-alt1.1.src.rpm Building target platforms: i586 Building for target i586 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.18923 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf vdsm-4.50.6 + echo 'Source #0 (vdsm-4.50.6.tar):' Source #0 (vdsm-4.50.6.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/vdsm-4.50.6.tar + cd vdsm-4.50.6 + /bin/chmod -c -Rf u+rwX,go-w . + echo 'Patch #0 (vdsm-4.50.6.patch):' Patch #0 (vdsm-4.50.6.patch): + /usr/bin/patch -p1 patching file .gear/rules patching file .gear/tags/9113eb80cabda1d0b20b030e1dedfa63589caf4f patching file .gear/tags/list patching file .gear/upstream/remotes patching file .gear/vdsm.filetrigger patching file .gear/vdsm.spec patching file configure.ac patching file init/systemd/Makefile.am patching file lib/vdsm/common/cmdutils.py patching file lib/vdsm/host/caps.py patching file lib/vdsm/storage/Makefile.am patching file lib/vdsm/storage/lvmfilter.py patching file lib/vdsm/tool/configurators/libvirt.py patching file lib/vdsm/tool/dummybr.py patching file tests/modprobe.py patching file tests/storage/mount_test.py patching file tests/storage/multipath_test.py patching file tests/toolTests_mtab_1g patching file tests/toolTests_mtab_default patching file tests/toolTests_mtab_nohugetlbfs patching file vdsm_hooks/fcoe/Makefile.am patching file vdsm_hooks/localdisk/12-vdsm-localdisk.rules patching file vdsm_hooks/localdisk/Makefile.am patching file vdsm_hooks/localdisk/localdisk-helper patching file vdsm_hooks/openstacknet/after_device_create.py patching file vdsm_hooks/openstacknet/sudoers.in + echo v4.50.6 + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.18923 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd vdsm-4.50.6 + autoreconf -fisv autoreconf-default: export WARNINGS= autoreconf-default: Entering directory '.' autoreconf-default: configure.ac: not using Gettext autoreconf-default: running: aclocal --force autoreconf-default: configure.ac: tracing autoreconf-default: configure.ac: not using Libtool autoreconf-default: configure.ac: not using Intltool autoreconf-default: configure.ac: not using Gtkdoc autoreconf-default: running: /usr/bin/autoconf-2.71 --force configure.ac:323: warning: AC_OUTPUT should be used without arguments. configure.ac:323: You should run autoupdate. autoreconf-default: configure.ac: not using Autoheader autoreconf-default: running: automake --add-missing --force-missing configure.ac:9: installing 'build-aux/config.guess' configure.ac:9: installing 'build-aux/config.sub' configure.ac:42: installing 'build-aux/install-sh' configure.ac:42: installing 'build-aux/missing' contrib/Makefile.am:6: installing 'build-aux/py-compile' autoreconf-default: Leaving directory '.' + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export CFLAGS + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export CXXFLAGS + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export FFLAGS + FCFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export FCFLAGS + '[' -n '' ']' ++ printf %s '-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' ++ sed -r 's/(^|[[:space:]]+)-[^m][^[:space:]]*//g' + ASFLAGS=' -march=i586 -mtune=generic' + export ASFLAGS + export lt_cv_deplibs_check_method=pass_all + lt_cv_deplibs_check_method=pass_all + xargs -ri dirname -- '{}' + sort -u + readlink -e -- ./configure + xargs -rn1 install -pm755 -- /usr/share/gnu-config/config.sub /usr/share/gnu-config/config.guess + xargs -ri find '{}' -type f '(' -name config.sub -or -name config.guess ')' -printf '%h/\n' + configure_runstatedir_flags= + grep -qF runstatedir=DIR ./configure + configure_runstatedir_flags=--runstatedir=/var/run + ./configure --build=i586-alt-linux --host=i586-alt-linux --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib --libexecdir=/usr/libexec --localstatedir=/var --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-dependency-tracking --disable-silent-rules --runstatedir=/var/run --without-included-gettext --enable-hooks --enable-vhostmd --enable-gluster-mgmt --enable-ovirt-vmconsole --enable-libvirt-sanlock --disable-libvirt-selinux --with-qemu-user=_libvirt --with-qemu-group=vmusers --with-data-center=/var/lib/vdsm/data-center --with-polkitdir=/usr/share/polkit-1/rules.d --with-ovirt-vmconsole-user=ovirt-vmconsole --with-ovirt-vmconsole-group=ovirt-vmconsole configure: WARNING: unrecognized options: --disable-dependency-tracking, --without-included-gettext checking build system type... i586-alt-linux-gnu checking host system type... i586-alt-linux-gnu checking for a BSD-compatible install... /usr/bin/ginstall -c checking whether build environment is sane... yes checking for a race-free mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether make sets $(MAKE)... yes checking whether make supports nested variables... yes checking whether ln -s works... yes checking for a Python interpreter with version >= 3... python3 checking for python3... /usr/bin/python3 checking for python3 version... 3.12 checking for python3 platform... linux checking for GNU default python3 prefix... ${prefix} checking for GNU default python3 exec_prefix... ${exec_prefix} checking for python3 script directory (pythondir)... ${PYTHON_PREFIX}/lib/python3/site-packages checking for python3 extension module directory (pyexecdir)... ${PYTHON_EXEC_PREFIX}/lib/python3/site-packages checking python3 module: six... yes checking for python3-config... /usr/bin/python3-config checking for cat... /usr/bin/cat checking for chcon... /usr/bin/chcon checking for chmod... /usr/bin/chmod checking for chown... /usr/bin/chown checking for dd... /usr/bin/dd checking for dmsetup... /sbin/dmsetup checking for fsck... /sbin/fsck checking for fence_ilo... /usr/sbin/fence_ilo checking for fuser... /usr/bin/fuser checking for grep... /usr/bin/grep checking for hwclock... /sbin/hwclock checking for ionice... /usr/bin/ionice checking for ip... /sbin/ip checking for iscsiadm... /sbin/iscsiadm checking for kill... /usr/bin/kill checking for lvm... /sbin/lvm checking for mkfs.msdos... /sbin/mkfs.msdos checking for mkfs... /sbin/mkfs checking for mkisofs... /usr/bin/mkisofs checking for mountpoint... /usr/bin/mountpoint checking for mount... /bin/mount checking for mv... /usr/bin/mv checking for nice... /usr/bin/nice checking for ntpq... /usr/sbin/ntpq checking for openssl... /usr/bin/openssl checking for prlimit... /usr/bin/prlimit checking for qemu-img... /usr/bin/qemu-img checking for reboot... /sbin/reboot checking for restorecon... /sbin/restorecon checking for rm... /usr/bin/rm checking for rsync... /usr/bin/rsync checking for safelease... /usr/libexec/safelease/safelease checking for service... /sbin/service checking for setsid... /usr/bin/setsid checking for sudo... /usr/bin/sudo checking for su... /bin/su checking for sysctl... /sbin/sysctl checking for tar... /usr/bin/tar checking for taskset... /bin/taskset checking for tee... /usr/bin/tee checking for touch... /usr/bin/touch checking for tune2fs... /sbin/tune2fs checking for umount... /bin/umount checking for wget... /usr/bin/wget checking that generated files are newer than configure... done configure: creating ./config.status config.status: creating Makefile config.status: creating contrib/Makefile config.status: creating helpers/Makefile config.status: creating init/Makefile config.status: creating init/systemd/Makefile config.status: creating lib/Makefile config.status: creating lib/dnf-plugins/Makefile config.status: creating lib/vdsm/Makefile config.status: creating lib/vdsm/api/Makefile config.status: creating lib/vdsm/common/Makefile config.status: creating lib/vdsm/common/network/Makefile config.status: creating lib/vdsm/gluster/Makefile config.status: creating lib/vdsm/hook/Makefile config.status: creating lib/vdsm/host/Makefile config.status: creating lib/vdsm/metrics/Makefile config.status: creating lib/vdsm/network/Makefile config.status: creating lib/vdsm/network/common/Makefile config.status: creating lib/vdsm/network/configurators/Makefile config.status: creating lib/vdsm/network/ip/Makefile config.status: creating lib/vdsm/network/link/Makefile config.status: creating lib/vdsm/network/link/bond/Makefile config.status: creating lib/vdsm/network/lldp/Makefile config.status: creating lib/vdsm/network/lldpad/Makefile config.status: creating lib/vdsm/network/netinfo/Makefile config.status: creating lib/vdsm/network/netlink/Makefile config.status: creating lib/vdsm/network/netswitch/Makefile config.status: creating lib/vdsm/network/nmstate/Makefile config.status: creating lib/vdsm/network/nmstate/ovs/Makefile config.status: creating lib/vdsm/network/tc/Makefile config.status: creating lib/vdsm/profiling/Makefile config.status: creating lib/vdsm/rpc/Makefile config.status: creating lib/vdsm/storage/Makefile config.status: creating lib/vdsm/storage/protect/Makefile config.status: creating lib/vdsm/storage/sdm/Makefile config.status: creating lib/vdsm/storage/sdm/api/Makefile config.status: creating lib/vdsm/storage/vdsm_lvm_rules.template config.status: creating lib/vdsm/supervdsm_api/Makefile config.status: creating lib/vdsm/tool/Makefile config.status: creating lib/vdsm/tool/configurators/Makefile config.status: creating lib/vdsm/virt/Makefile config.status: creating lib/vdsm/virt/jobs/Makefile config.status: creating lib/vdsm/virt/libvirthook/Makefile config.status: creating lib/vdsm/virt/vmdevices/Makefile config.status: creating lib/vdsmclient/Makefile config.status: creating lib/yajsonrpc/Makefile config.status: creating static/Makefile config.status: creating tests/Makefile config.status: creating vdsm_hooks/Makefile config.status: creating vdsm_hooks/allocate_net/Makefile config.status: creating vdsm_hooks/boot_hostdev/Makefile config.status: creating vdsm_hooks/checkimages/Makefile config.status: creating vdsm_hooks/checkips/Makefile config.status: creating vdsm_hooks/cpuflags/Makefile config.status: creating vdsm_hooks/diskunmap/Makefile config.status: creating vdsm_hooks/ethtool_options/Makefile config.status: creating vdsm_hooks/extnet/Makefile config.status: creating vdsm_hooks/extra_ipv4_addrs/Makefile config.status: creating vdsm_hooks/fakevmstats/Makefile config.status: creating vdsm_hooks/faqemu/Makefile config.status: creating vdsm_hooks/fcoe/Makefile config.status: creating vdsm_hooks/fileinject/Makefile config.status: creating vdsm_hooks/httpsisoboot/Makefile config.status: creating vdsm_hooks/localdisk/Makefile config.status: creating vdsm_hooks/log_console/Makefile config.status: creating vdsm_hooks/log_firmware/Makefile config.status: creating vdsm_hooks/macbind/Makefile config.status: creating vdsm_hooks/nestedvt/Makefile config.status: creating vdsm_hooks/openstacknet/Makefile config.status: creating vdsm_hooks/qemucmdline/Makefile config.status: creating vdsm_hooks/scratchpad/Makefile config.status: creating vdsm_hooks/smbios/Makefile config.status: creating vdsm_hooks/spiceoptions/Makefile config.status: creating vdsm_hooks/vhostmd/Makefile config.status: creating vdsm_hooks/vmfex_dev/Makefile configure: WARNING: unrecognized options: --disable-dependency-tracking, --without-included-gettext + make -j16 make: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Makefile:993: warning: overriding recipe for target 'check-recursive' Makefile:510: warning: ignoring old recipe for target 'check-recursive' make: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Making all in contrib make[1]: Nothing to be done for 'all'. Making all in helpers make[1]: Nothing to be done for 'all'. Making all in init Making all in systemd make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init/systemd' MKDIR_P ./ SED vdsm-tmpfiles.d.conf make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init/systemd' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' MKDIR_P ./ SED vdsmd_init_common.sh make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' Making all in lib Making all in dnf-plugins make[2]: Nothing to be done for 'all'. Making all in vdsm Making all in api make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' Generate vdsm-events.pickle chmod u+w . PYTHONPATH=./../../:./../../vdsm \ ./schema_to_pickle.py vdsm-events.yml vdsm-events.pickle make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' Generate vdsm-api-gluster.pickle chmod u+w . PYTHONPATH=./../../:./../../vdsm \ ./schema_to_pickle.py vdsm-api-gluster.yml vdsm-api-gluster.pickle make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' Generate vdsm-api.pickle chmod u+w . PYTHONPATH=./../../:./../../vdsm \ ./schema_to_pickle.py vdsm-api.yml vdsm-api.pickle make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' Generate vdsm-api.html chmod u+w . PYTHONPATH=./../../:./../../vdsm \ ./schema_to_html.py vdsm-api ./vdsm-api.html make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' Making all in common Making all in network make[4]: Nothing to be done for 'all'. make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' MKDIR_P ./ SED config.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' MKDIR_P ./ SED dsaversion.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' MKDIR_P ./ SED constants.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' Making all in tool Making all in configurators make[4]: Nothing to be done for 'all'. make[4]: Nothing to be done for 'all-am'. Making all in profiling make[3]: Nothing to be done for 'all'. Making all in rpc make[3]: Nothing to be done for 'all'. Making all in network Making all in common make[4]: Nothing to be done for 'all'. Making all in configurators make[4]: Nothing to be done for 'all'. Making all in ip make[4]: Nothing to be done for 'all'. Making all in link Making all in bond make[5]: Nothing to be done for 'all'. make[5]: Nothing to be done for 'all-am'. Making all in lldp make[4]: Nothing to be done for 'all'. Making all in lldpad make[4]: Nothing to be done for 'all'. Making all in netinfo make[4]: Nothing to be done for 'all'. Making all in netlink make[4]: Nothing to be done for 'all'. Making all in nmstate Making all in ovs make[5]: Nothing to be done for 'all'. make[5]: Nothing to be done for 'all-am'. Making all in tc make[4]: Nothing to be done for 'all'. Making all in netswitch make[4]: Nothing to be done for 'all'. make[4]: Nothing to be done for 'all-am'. Making all in virt Making all in jobs make[4]: Nothing to be done for 'all'. Making all in libvirthook make[4]: Nothing to be done for 'all'. Making all in vmdevices make[4]: Nothing to be done for 'all'. make[4]: Nothing to be done for 'all-am'. Making all in storage Making all in protect make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' python3 vdsm_lvm_rules.template > vdsm-lvm.rules make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/protect' MKDIR_P ./ SED spmprotect.sh make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/protect' Making all in sdm Making all in api make[5]: Nothing to be done for 'all'. make[5]: Nothing to be done for 'all-am'. make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' MKDIR_P ./ SED lvm.env make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' Making all in hook make[3]: Nothing to be done for 'all'. Making all in host make[3]: Nothing to be done for 'all'. Making all in metrics make[3]: Nothing to be done for 'all'. Making all in gluster make[3]: Nothing to be done for 'all'. Making all in supervdsm_api make[3]: Nothing to be done for 'all'. make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' CONFIG vdsm.conf.sample make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' Making all in vdsmclient make[2]: Nothing to be done for 'all'. Making all in yajsonrpc make[2]: Nothing to be done for 'all'. make[2]: Nothing to be done for 'all-am'. Making all in static make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win7/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win7.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win8.1/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win8.1.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win10/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win10.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win8/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win8.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win2008/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win2008.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win2008R2/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win2008R2.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win2012/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win2012.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win2012R2/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win2012R2.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' sed -e 's/@DRIVER_DIR@/Win2016/' < usr/share/vdsm/autounattend/Autounattend.xml.in > usr/share/vdsm/autounattend/Win2016.xml make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P usr/share/man/man1/ SED usr/share/man/man1/vdsm-tool.1 make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P usr/lib/systemd/system/ SED usr/lib/systemd/system/vdsmd.service make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P usr/share/man/man8/ SED usr/share/man/man8/vdsmd.8 make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P etc/sudoers.d/ SED etc/sudoers.d/50_vdsm make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P libexec/vdsm/ SED libexec/vdsm/vdsm-gencerts.sh make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P usr/lib/systemd/system/ SED usr/lib/systemd/system/supervdsmd.service make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P usr/lib/systemd/system/ SED usr/lib/systemd/system/mom-vdsm.service make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P usr/lib/systemd/system/ SED usr/lib/systemd/system/vdsm-network.service make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P etc/vdsm/ SED etc/vdsm/logger.conf make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P etc/vdsm/ SED etc/vdsm/mom.conf make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' MKDIR_P etc/vdsm/ SED etc/vdsm/svdsm.logger.conf make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' Making all in vdsm_hooks Making all in cpuflags make[2]: Nothing to be done for 'all'. Making all in ethtool_options make[2]: Nothing to be done for 'all'. Making all in faqemu make[2]: Nothing to be done for 'all'. Making all in fcoe make[2]: Nothing to be done for 'all'. Making all in localdisk make[2]: Nothing to be done for 'all'. Making all in log_console make[2]: Nothing to be done for 'all'. Making all in log_firmware make[2]: Nothing to be done for 'all'. Making all in openstacknet make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' MKDIR_P ./ SED sudoers make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' Making all in qemucmdline make[2]: Nothing to be done for 'all'. Making all in vhostmd make[2]: Nothing to be done for 'all'. Making all in vmfex_dev make[2]: Nothing to be done for 'all'. Making all in allocate_net make[2]: Nothing to be done for 'all'. Making all in boot_hostdev make[2]: Nothing to be done for 'all'. Making all in checkimages make[2]: Nothing to be done for 'all'. Making all in checkips make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkips' MKDIR_P ./ SED vdsm-checkips.service make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkips' Making all in diskunmap make[2]: Nothing to be done for 'all'. Making all in extnet make[2]: Nothing to be done for 'all'. Making all in extra_ipv4_addrs make[2]: Nothing to be done for 'all'. Making all in fileinject make[2]: Nothing to be done for 'all'. Making all in fakevmstats make[2]: Nothing to be done for 'all'. Making all in httpsisoboot make[2]: Nothing to be done for 'all'. Making all in macbind make[2]: Nothing to be done for 'all'. Making all in nestedvt make[2]: Nothing to be done for 'all'. Making all in scratchpad make[2]: Nothing to be done for 'all'. Making all in smbios make[2]: Nothing to be done for 'all'. Making all in spiceoptions make[2]: Nothing to be done for 'all'. make[2]: Nothing to be done for 'all-am'. Making all in tests make[1]: Nothing to be done for 'all'. make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Makefile:993: warning: overriding recipe for target 'check-recursive' Makefile:510: warning: ignoring old recipe for target 'check-recursive' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' MKDIR_P ./ SED vdsm.spec make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.23759 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/vdsm-buildroot + : + /bin/rm -rf -- /usr/src/tmp/vdsm-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games + cd vdsm-4.50.6 + make 'INSTALL=/usr/libexec/rpm-build/install -p' install DESTDIR=/usr/src/tmp/vdsm-buildroot make: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Makefile:993: warning: overriding recipe for target 'check-recursive' Makefile:510: warning: ignoring old recipe for target 'check-recursive' make: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Making install in contrib make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/contrib' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/contrib' make[2]: Nothing to be done for 'install-exec-am'. make[2]: Nothing to be done for 'install-data-am'. make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/contrib' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/contrib' Making install in helpers make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/helpers' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/helpers' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p kvm2ovirt fallocate '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' make[2]: Nothing to be done for 'install-data-am'. make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/helpers' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/helpers' Making install in init make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' Making install in systemd make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init/systemd' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init/systemd' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/lib/tmpfiles.d /usr/libexec/rpm-build/install -p -m 644 ./vdsm-tmpfiles.d.conf \ /usr/src/tmp/vdsm-buildroot/lib/tmpfiles.d/vdsm.conf /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/systemd/system/libvirtd.service.d /usr/libexec/rpm-build/install -p -m 644 ./unlimited-core.conf \ /usr/src/tmp/vdsm-buildroot/etc/systemd/system/libvirtd.service.d/unlimited-core.conf /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/lib/systemd/system-preset make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init/systemd' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init/systemd' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p daemonAdapter '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p vdsmd_init_common.sh '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' make[3]: Nothing to be done for 'install-data-am'. make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/init' Making install in lib make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib' Making install in dnf-plugins make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/dnf-plugins' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/dnf-plugins' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/dnf-plugins' /usr/libexec/rpm-build/install -p -m 644 vdsmupgrade.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/dnf-plugins' Byte-compiling python modules... vdsmupgrade.py Byte-compiling python modules (optimized versions) ... vdsmupgrade.py make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/dnf-plugins' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/dnf-plugins' Making install in vdsm make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' Making install in api make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc' /usr/libexec/rpm-build/install -p -m 644 vdsm-api.pickle vdsm-api-gluster.pickle vdsm-events.pickle '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc' chmod 444 "/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc"/*.pickle /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api' /usr/libexec/rpm-build/install -p -m 644 __init__.py schema_inconsistency_formatter.py vdsmapi.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api' Byte-compiling python modules... __init__.pyschema_inconsistency_formatter.pyvdsmapi.py Byte-compiling python modules (optimized versions) ... __init__.pyschema_inconsistency_formatter.pyvdsmapi.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/api' Making install in common make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' Making install in network make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common/network' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common/network' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./address.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network' Byte-compiling python modules... __init__.pyaddress.py Byte-compiling python modules (optimized versions) ... __init__.pyaddress.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common/network' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common/network' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./api.py ./base26.py ./cache.py ./cmdutils.py ./commands.py ./concurrent.py ./conv.py ./cpuarch.py ./define.py ./errors.py ./eventfd.py ./exception.py ./filecontrol.py ./fileutils.py ./function.py ./hooks.py ./hostdev.py ./hostutils.py ./libvirtconnection.py ./lockfile.py ./logutils.py ./marks.py ./nbdutils.py ./osutils.py ./panic.py ./password.py ./pki.py ./proc.py ./properties.py ./pthread.py ./response.py ./sigutils.py ./supervdsm.py ./systemctl.py ./systemd.py ./threadlocal.py ./time.py ./udevadm.py ./units.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common' /usr/libexec/rpm-build/install -p -m 644 ./unixrpc.py ./validate.py ./xmlutils.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common' Byte-compiling python modules... __init__.pyapi.pybase26.pycache.pycmdutils.pycommands.pyconcurrent.pyconv.pycpuarch.pydefine.pyerrors.pyeventfd.pyexception.pyfilecontrol.pyfileutils.pyfunction.pyhooks.pyhostdev.pyhostutils.pylibvirtconnection.pylockfile.pylogutils.pymarks.pynbdutils.pyosutils.pypanic.pypassword.pypki.pyproc.pyproperties.pypthread.pyresponse.pysigutils.pysupervdsm.pysystemctl.pysystemd.pythreadlocal.pytime.pyudevadm.pyunits.pyunixrpc.pyvalidate.pyxmlutils.py Byte-compiling python modules (optimized versions) ... __init__.pyapi.pybase26.pycache.pycmdutils.pycommands.pyconcurrent.pyconv.pycpuarch.pydefine.pyerrors.pyeventfd.pyexception.pyfilecontrol.pyfileutils.pyfunction.pyhooks.pyhostdev.pyhostutils.pylibvirtconnection.pylockfile.pylogutils.pymarks.pynbdutils.pyosutils.pypanic.pypassword.pypki.pyproc.pyproperties.pypthread.pyresponse.pysigutils.pysupervdsm.pysystemctl.pysystemd.pythreadlocal.pytime.pyudevadm.pyunits.pyunixrpc.pyvalidate.pyxmlutils.py /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common' /usr/libexec/rpm-build/install -p -m 644 ./config.py ./constants.py ./dsaversion.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common' Byte-compiling python modules... config.pyconstants.pydsaversion.py Byte-compiling python modules (optimized versions) ... config.pyconstants.pydsaversion.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/common' Making install in tool make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool' Making install in configurators make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool/configurators' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool/configurators' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators' /usr/libexec/rpm-build/install -p -m 644 __init__.py bond_defaults.py certificates.py libvirt.py lvm.py managedvolumedb.py multipath.py passwd.py sanlock.py sebool.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators' Byte-compiling python modules... __init__.pybond_defaults.pycertificates.pylibvirt.pylvm.pymanagedvolumedb.pymultipath.pypasswd.pysanlock.pysebool.py Byte-compiling python modules (optimized versions) ... __init__.pybond_defaults.pycertificates.pylibvirt.pylvm.pymanagedvolumedb.pymultipath.pypasswd.pysanlock.pysebool.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool/configurators' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool/configurators' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool' /usr/libexec/rpm-build/install -p -m 644 __init__.py config_lvm_filter.py confmeta.py confutils.py dummybr.py dump_volume_chains.py network.py nwfilter.py configfile.py configurator.py register.py service.py transient.py upgrade.py vdsm-id.py vdsm_config.py common.py check_volume_leases.py xleases.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool' Byte-compiling python modules... __init__.pyconfig_lvm_filter.pyconfmeta.pyconfutils.pydummybr.pydump_volume_chains.pynetwork.pynwfilter.pyconfigfile.pyconfigurator.pyregister.pyservice.pytransient.pyupgrade.pyvdsm-id.pyvdsm_config.pycommon.pycheck_volume_leases.pyxleases.py Byte-compiling python modules (optimized versions) ... __init__.pyconfig_lvm_filter.pyconfmeta.pyconfutils.pydummybr.pydump_volume_chains.pynetwork.pynwfilter.pyconfigfile.pyconfigurator.pyregister.pyservice.pytransient.pyupgrade.pyvdsm-id.pyvdsm_config.pycommon.pycheck_volume_leases.pyxleases.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/tool' Making install in profiling make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/profiling' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/profiling' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling' /usr/libexec/rpm-build/install -p -m 644 __init__.py cpu.py errors.py memory.py profile.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling' Byte-compiling python modules... __init__.pycpu.pyerrors.pymemory.pyprofile.py Byte-compiling python modules (optimized versions) ... __init__.pycpu.pyerrors.pymemory.pyprofile.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/profiling' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/profiling' Making install in rpc make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/rpc' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/rpc' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc' /usr/libexec/rpm-build/install -p -m 644 __init__.py http.py bindingjsonrpc.py Bridge.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc' Byte-compiling python modules... __init__.pyhttp.pybindingjsonrpc.pyBridge.py Byte-compiling python modules (optimized versions) ... __init__.pyhttp.pybindingjsonrpc.pyBridge.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/rpc' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/rpc' Making install in network make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network' Making install in common make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/common' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/common' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./conversion_util.py ./switch_util.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common' Byte-compiling python modules... __init__.pyconversion_util.pyswitch_util.py Byte-compiling python modules (optimized versions) ... __init__.pyconversion_util.pyswitch_util.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/common' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/common' Making install in configurators make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/configurators' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/configurators' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./qos.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators' Byte-compiling python modules... __init__.pyqos.py Byte-compiling python modules (optimized versions) ... __init__.pyqos.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/configurators' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/configurators' Making install in ip make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/ip' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/ip' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./address.py ./validator.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip' Byte-compiling python modules... __init__.pyaddress.pyvalidator.py Byte-compiling python modules (optimized versions) ... __init__.pyaddress.pyvalidator.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/ip' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/ip' Making install in link make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link' Making install in bond make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link/bond' make[6]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link/bond' make[6]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./bond_speed.py ./sysfs_driver.py ./sysfs_options.py ./sysfs_options_mapper.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond' Byte-compiling python modules... __init__.pybond_speed.pysysfs_driver.pysysfs_options.pysysfs_options_mapper.py Byte-compiling python modules (optimized versions) ... __init__.pybond_speed.pysysfs_driver.pysysfs_options.pysysfs_options_mapper.py make[6]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link/bond' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link/bond' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link' make[6]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link' make[6]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./bridge.py ./iface.py ./nic.py ./setup.py ./sriov.py ./stats.py ./validator.py ./vlan.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link' Byte-compiling python modules... __init__.pybridge.pyiface.pynic.pysetup.pysriov.pystats.pyvalidator.pyvlan.py Byte-compiling python modules (optimized versions) ... __init__.pybridge.pyiface.pynic.pysetup.pysriov.pystats.pyvalidator.pyvlan.py make[6]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/link' Making install in lldp make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldp' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldp' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./info.py ./lldpad.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp' Byte-compiling python modules... __init__.pyinfo.pylldpad.py Byte-compiling python modules (optimized versions) ... __init__.pyinfo.pylldpad.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldp' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldp' Making install in lldpad make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldpad' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldpad' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./lldptool.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad' Byte-compiling python modules... __init__.pylldptool.py Byte-compiling python modules (optimized versions) ... __init__.pylldptool.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldpad' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/lldpad' Making install in netinfo make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netinfo' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netinfo' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo' /usr/libexec/rpm-build/install -p -m 644 __init__.py addresses.py bonding.py bridges.py cache.py nics.py qos.py routes.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo' Byte-compiling python modules... __init__.pyaddresses.pybonding.pybridges.pycache.pynics.pyqos.pyroutes.py Byte-compiling python modules (optimized versions) ... __init__.pyaddresses.pybonding.pybridges.pycache.pynics.pyqos.pyroutes.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netinfo' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netinfo' Making install in netlink make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netlink' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netlink' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink' /usr/libexec/rpm-build/install -p -m 644 __init__.py addr.py libnl.py link.py monitor.py route.py waitfor.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink' Byte-compiling python modules... __init__.pyaddr.pylibnl.pylink.pymonitor.pyroute.pywaitfor.py Byte-compiling python modules (optimized versions) ... __init__.pyaddr.pylibnl.pylink.pymonitor.pyroute.pywaitfor.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netlink' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netlink' Making install in nmstate make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate' Making install in ovs make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate/ovs' make[6]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate/ovs' make[6]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./info.py ./network.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs' Byte-compiling python modules... __init__.pyinfo.pynetwork.py Byte-compiling python modules (optimized versions) ... __init__.pyinfo.pynetwork.py make[6]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate/ovs' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate/ovs' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate' make[6]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate' make[6]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./api.py ./bond.py ./bridge_util.py ./dns.py ./ip.py ./linux_bridge.py ./route.py ./schema.py ./sriov.py ./state.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate' Byte-compiling python modules... __init__.pyapi.pybond.pybridge_util.pydns.pyip.pylinux_bridge.pyroute.pyschema.pysriov.pystate.py Byte-compiling python modules (optimized versions) ... __init__.pyapi.pybond.pybridge_util.pydns.pyip.pylinux_bridge.pyroute.pyschema.pysriov.pystate.py make[6]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/nmstate' Making install in tc make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/tc' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/tc' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc' /usr/libexec/rpm-build/install -p -m 644 __init__.py _parser.py _wrapper.py cls.py filter.py qdisc.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc' Byte-compiling python modules... __init__.py_parser.py_wrapper.pycls.pyfilter.pyqdisc.py Byte-compiling python modules (optimized versions) ... __init__.py_parser.py_wrapper.pycls.pyfilter.pyqdisc.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/tc' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/tc' Making install in netswitch make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netswitch' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netswitch' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./configurator.py ./validator.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch' Byte-compiling python modules... __init__.pyconfigurator.pyvalidator.py Byte-compiling python modules (optimized versions) ... __init__.pyconfigurator.pyvalidator.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netswitch' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network/netswitch' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./api.py ./bond_monitor.py ./canonicalize.py ./cmd.py ./connectivity.py ./dhcp_monitor.py ./dns.py ./driverloader.py ./errors.py ./ethtool.py ./initializer.py ./ipwrapper.py ./kernelconfig.py ./netconfpersistence.py ./netstats.py ./ovn.py ./restore_net_config.py ./sysctl.py ./validator.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network' Byte-compiling python modules... __init__.pyapi.pybond_monitor.pycanonicalize.pycmd.pyconnectivity.pydhcp_monitor.pydns.pydriverloader.pyerrors.pyethtool.pyinitializer.pyipwrapper.pykernelconfig.pynetconfpersistence.pynetstats.pyovn.pyrestore_net_config.pysysctl.pyvalidator.py Byte-compiling python modules (optimized versions) ... __init__.pyapi.pybond_monitor.pycanonicalize.pycmd.pyconnectivity.pydhcp_monitor.pydns.pydriverloader.pyerrors.pyethtool.pyinitializer.pyipwrapper.pykernelconfig.pynetconfpersistence.pynetstats.pyovn.pyrestore_net_config.pysysctl.pyvalidator.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/network' Making install in virt make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt' Making install in jobs make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/jobs' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/jobs' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs' /usr/libexec/rpm-build/install -p -m 644 __init__.py seal.py snapshot.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs' Byte-compiling python modules... __init__.pyseal.pysnapshot.py Byte-compiling python modules (optimized versions) ... __init__.pyseal.pysnapshot.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/jobs' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/jobs' Making install in libvirthook make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/libvirthook' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/libvirthook' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p vm_libvirt_hook.py '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/libvirthook' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/libvirthook' Making install in vmdevices make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/vmdevices' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/vmdevices' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices' /usr/libexec/rpm-build/install -p -m 644 __init__.py common.py compat.py core.py drivename.py graphics.py hostdevice.py hwclass.py lease.py lookup.py network.py storage.py storagexml.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices' Byte-compiling python modules... __init__.pycommon.pycompat.pycore.pydrivename.pygraphics.pyhostdevice.pyhwclass.pylease.pylookup.pynetwork.pystorage.pystoragexml.py Byte-compiling python modules (optimized versions) ... __init__.pycommon.pycompat.pycore.pydrivename.pygraphics.pyhostdevice.pyhwclass.pylease.pylookup.pynetwork.pystorage.pystoragexml.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/vmdevices' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt/vmdevices' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt' /usr/libexec/rpm-build/install -p -m 644 __init__.py backup.py blockjob.py cpumanagement.py displaynetwork.py domain_descriptor.py domxml_preprocess.py errors.py events.py externaldata.py filedata.py guestagent.py guestagenthelpers.py libvirtnetwork.py libvirtxml.py livemerge.py metadata.py migration.py periodic.py qemuguestagent.py recovery.py sampling.py saslpasswd2.py secret.py thinp.py utils.py virdomain.py vm.py vmchannels.py vmexitreason.py vmpowerdown.py vmstats.py vmstatus.py vmtune.py vmxml.py xmlconstants.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt' Byte-compiling python modules... __init__.pybackup.pyblockjob.pycpumanagement.pydisplaynetwork.pydomain_descriptor.pydomxml_preprocess.pyerrors.pyevents.pyexternaldata.pyfiledata.pyguestagent.pyguestagenthelpers.pylibvirtnetwork.pylibvirtxml.pylivemerge.pymetadata.pymigration.pyperiodic.pyqemuguestagent.pyrecovery.pysampling.pysaslpasswd2.pysecret.pythinp.pyutils.pyvirdomain.pyvm.pyvmchannels.pyvmexitreason.pyvmpowerdown.pyvmstats.pyvmstatus.pyvmtune.pyvmxml.pyxmlconstants.py Byte-compiling python modules (optimized versions) ... __init__.pybackup.pyblockjob.pycpumanagement.pydisplaynetwork.pydomain_descriptor.pydomxml_preprocess.pyerrors.pyevents.pyexternaldata.pyfiledata.pyguestagent.pyguestagenthelpers.pylibvirtnetwork.pylibvirtxml.pylivemerge.pymetadata.pymigration.pyperiodic.pyqemuguestagent.pyrecovery.pysampling.pysaslpasswd2.pysecret.pythinp.pyutils.pyvirdomain.pyvm.pyvmchannels.pyvmexitreason.pyvmpowerdown.pyvmstats.pyvmstatus.pyvmtune.pyvmxml.pyxmlconstants.py make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/virt' Making install in storage make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' Making install in protect make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/protect' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/protect' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p spmstop.sh '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p spmprotect.sh '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/protect' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/protect' Making install in sdm make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm' Making install in api make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm/api' make[6]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm/api' make[6]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api' /usr/libexec/rpm-build/install -p -m 644 __init__.py amend_volume.py add_bitmap.py base.py copy_data.py clear_bitmaps.py merge.py move_device.py reduce_domain.py remove_bitmap.py sparsify_volume.py update_volume.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api' Byte-compiling python modules... __init__.pyamend_volume.pyadd_bitmap.pybase.pycopy_data.pyclear_bitmaps.pymerge.pymove_device.pyreduce_domain.pyremove_bitmap.pysparsify_volume.pyupdate_volume.py Byte-compiling python modules (optimized versions) ... __init__.pyamend_volume.pyadd_bitmap.pybase.pycopy_data.pyclear_bitmaps.pymerge.pymove_device.pyreduce_domain.pyremove_bitmap.pysparsify_volume.pyupdate_volume.py make[6]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm/api' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm/api' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm' make[6]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm' make[6]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm' /usr/libexec/rpm-build/install -p -m 644 __init__.py volume_info.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm' Byte-compiling python modules... __init__.pyvolume_info.py Byte-compiling python modules (optimized versions) ... __init__.pyvolume_info.py make[6]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage/sdm' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' make[5]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p curl-img-wrap fc-scan managedvolume-helper '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/lib/udev/rules.d /usr/libexec/rpm-build/install -p -m 644 vdsm-lvm.rules /usr/src/tmp/vdsm-buildroot/lib/udev/rules.d/12-vdsm-lvm.rules /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage' /usr/libexec/rpm-build/install -p -m 644 __init__.py asyncevent.py asyncutils.py backends.py bitmaps.py blkdiscard.py blockSD.py blockVolume.py blockdev.py check.py clusterlock.py constants.py curlImgWrap.py devicemapper.py directio.py dispatcher.py dmsetup.py exception.py fallocate.py fileSD.py fileUtils.py fileVolume.py formatconverter.py fsutils.py fuser.py glance.py glusterSD.py glusterVolume.py guarded.py hba.py hsm.py image.py imageSharing.py imagetickets.py iscsi.py iscsiadm.py localFsSD.py lvm.py lvmconf.py lvmdevices.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage' /usr/libexec/rpm-build/install -p -m 644 lvmfilter.py lsof.py mailbox.py managedvolume.py managedvolumedb.py merge.py misc.py monitor.py mount.py mpathconf.py mpathhealth.py multipath.py nbd.py nfsSD.py nos_brick.py operation.py outOfProcess.py persistent.py qemuimg.py resourceFactories.py resourceManager.py rwlock.py sanlockconf.py sanlock_direct.py sd.py sdc.py securable.py sp.py spbackends.py spwd.py storageServer.py sysfs.py task.py taskManager.py threadPool.py transientdisk.py utils.py validators.py volume.py volumemetadata.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage' /usr/libexec/rpm-build/install -p -m 644 workarounds.py xlease.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage' Byte-compiling python modules... __init__.pyasyncevent.pyasyncutils.pybackends.pybitmaps.pyblkdiscard.pyblockSD.pyblockVolume.pyblockdev.pycheck.pyclusterlock.pyconstants.pycurlImgWrap.pydevicemapper.pydirectio.pydispatcher.pydmsetup.pyexception.pyfallocate.pyfileSD.pyfileUtils.pyfileVolume.pyformatconverter.pyfsutils.pyfuser.pyglance.pyglusterSD.pyglusterVolume.pyguarded.pyhba.pyhsm.pyimage.pyimageSharing.pyimagetickets.pyiscsi.pyiscsiadm.pylocalFsSD.pylvm.pylvmconf.pylvmdevices.pylvmfilter.pylsof.pymailbox.pymanagedvolume.pymanagedvolumedb.pymerge.pymisc.pymonitor.pymount.pympathconf.pympathhealth.pymultipath.pynbd.pynfsSD.pynos_brick.pyoperation.pyoutOfProcess.pypersistent.pyqemuimg.pyresourceFactories.pyresourceManager.pyrwlock.pysanlockconf.pysanlock_direct.pysd.pysdc.pysecurable.pysp.pyspbackends.pyspwd.pystorageServer.pysysfs.pytask.pytaskManager.pythreadPool.pytransientdisk.pyutils.pyvalidators.pyvolume.pyvolumemetadata.pyworkarounds.pyxlease.py Byte-compiling python modules (optimized versions) ... __init__.pyasyncevent.pyasyncutils.pybackends.pybitmaps.pyblkdiscard.pyblockSD.pyblockVolume.pyblockdev.pycheck.pyclusterlock.pyconstants.pycurlImgWrap.pydevicemapper.pydirectio.pydispatcher.pydmsetup.pyexception.pyfallocate.pyfileSD.pyfileUtils.pyfileVolume.pyformatconverter.pyfsutils.pyfuser.pyglance.pyglusterSD.pyglusterVolume.pyguarded.pyhba.pyhsm.pyimage.pyimageSharing.pyimagetickets.pyiscsi.pyiscsiadm.pylocalFsSD.pylvm.pylvmconf.pylvmdevices.pylvmfilter.pylsof.pymailbox.pymanagedvolume.pymanagedvolumedb.pymerge.pymisc.pymonitor.pymount.pympathconf.pympathhealth.pymultipath.pynbd.pynfsSD.pynos_brick.pyoperation.pyoutOfProcess.pypersistent.pyqemuimg.pyresourceFactories.pyresourceManager.pyrwlock.pysanlockconf.pysanlock_direct.pysd.pysdc.pysecurable.pysp.pyspbackends.pyspwd.pystorageServer.pysysfs.pytask.pytaskManager.pythreadPool.pytransientdisk.pyutils.pyvalidators.pyvolume.pyvolumemetadata.pyworkarounds.pyxlease.py /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage' /usr/libexec/rpm-build/install -p -m 644 lvm.env '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage' make[5]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/storage' Making install in hook make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/hook' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/hook' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook' /usr/libexec/rpm-build/install -p -m 644 __init__.py hooking.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook' Byte-compiling python modules... __init__.pyhooking.py Byte-compiling python modules (optimized versions) ... __init__.pyhooking.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/hook' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/hook' Making install in host make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/host' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/host' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./api.py ./caps.py ./rngsources.py ./stats.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host' Byte-compiling python modules... __init__.pyapi.pycaps.pyrngsources.pystats.py Byte-compiling python modules (optimized versions) ... __init__.pyapi.pycaps.pyrngsources.pystats.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/host' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/host' Making install in metrics make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/metrics' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/metrics' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics' /usr/libexec/rpm-build/install -p -m 644 ./__init__.py ./hawkular.py ./statsd.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics' Byte-compiling python modules... __init__.pyhawkular.pystatsd.py Byte-compiling python modules (optimized versions) ... __init__.pyhawkular.pystatsd.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/metrics' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/metrics' Making install in gluster make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/gluster' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/gluster' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster' /usr/libexec/rpm-build/install -p -m 644 __init__.py cli.py exception.py api.py apiwrapper.py events.py fence.py fstab.py gfapi.py hooks.py services.py storagedev.py tasks.py thinstorage.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster' Byte-compiling python modules... __init__.pycli.pyexception.pyapi.pyapiwrapper.pyevents.pyfence.pyfstab.pygfapi.pyhooks.pyservices.pystoragedev.pytasks.pythinstorage.py Byte-compiling python modules (optimized versions) ... __init__.pycli.pyexception.pyapi.pyapiwrapper.pyevents.pyfence.pyfstab.pygfapi.pyhooks.pyservices.pystoragedev.pytasks.pythinstorage.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/gluster' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/gluster' Making install in supervdsm_api make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/supervdsm_api' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/supervdsm_api' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api' /usr/libexec/rpm-build/install -p -m 644 __init__.py devicemapper.py dmsetup.py hwinfo.py ksm.py lsof.py managedvolume.py mkimage.py multipath.py nbd.py network.py sanlock_direct.py saslpasswd2.py systemctl.py test.py udev.py virt.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api' Byte-compiling python modules... __init__.pydevicemapper.pydmsetup.pyhwinfo.pyksm.pylsof.pymanagedvolume.pymkimage.pymultipath.pynbd.pynetwork.pysanlock_direct.pysaslpasswd2.pysystemctl.pytest.pyudev.pyvirt.py Byte-compiling python modules (optimized versions) ... __init__.pydevicemapper.pydmsetup.pyhwinfo.pyksm.pylsof.pymanagedvolume.pymkimage.pymultipath.pynbd.pynetwork.pysanlock_direct.pysaslpasswd2.pysystemctl.pytest.pyudev.pyvirt.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/supervdsm_api' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm/supervdsm_api' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/vdsm /usr/libexec/rpm-build/install -p -m 644 vdsm.conf.sample \ /usr/src/tmp/vdsm-buildroot/etc/vdsm/vdsm.conf /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/run/vdsm/v2v /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm' /usr/libexec/rpm-build/install -p -m 644 __init__.py alignmentScan.py API.py client.py clientIF.py constants.py config.py cpuinfo.py dmidecodeUtil.py executor.py health.py hugepages.py jobs.py jsonrpcvdscli.py kvm2ovirt.py logUtils.py machinetype.py moduleloader.py momIF.py mkimage.py numa.py osinfo.py ppc64HardwareInfo.py protocoldetector.py schedule.py sslutils.py supervdsm_server.py taskset.py throttledlog.py utils.py v2v.py vdsmd.py virtsparsify.py virtsysprep.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm' Byte-compiling python modules... __init__.pyalignmentScan.pyAPI.pyclient.pyclientIF.pyconstants.pyconfig.pycpuinfo.pydmidecodeUtil.pyexecutor.pyhealth.pyhugepages.pyjobs.pyjsonrpcvdscli.pykvm2ovirt.pylogUtils.pymachinetype.pymoduleloader.pymomIF.pymkimage.pynuma.pyosinfo.pyppc64HardwareInfo.pyprotocoldetector.pyschedule.pysslutils.pysupervdsm_server.pytaskset.pythrottledlog.pyutils.pyv2v.pyvdsmd.pyvirtsparsify.pyvirtsysprep.py Byte-compiling python modules (optimized versions) ... __init__.pyalignmentScan.pyAPI.pyclient.pyclientIF.pyconstants.pyconfig.pycpuinfo.pydmidecodeUtil.pyexecutor.pyhealth.pyhugepages.pyjobs.pyjsonrpcvdscli.pykvm2ovirt.pylogUtils.pymachinetype.pymoduleloader.pymomIF.pymkimage.pynuma.pyosinfo.pyppc64HardwareInfo.pyprotocoldetector.pyschedule.pysslutils.pysupervdsm_server.pytaskset.pythrottledlog.pyutils.pyv2v.pyvdsmd.pyvirtsparsify.pyvirtsysprep.py make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsm' Making install in vdsmclient make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsmclient' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsmclient' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient' /usr/libexec/rpm-build/install -p -m 644 __init__.py client.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient' Byte-compiling python modules... __init__.pyclient.py Byte-compiling python modules (optimized versions) ... __init__.pyclient.py make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsmclient' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/vdsmclient' Making install in yajsonrpc make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/yajsonrpc' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/yajsonrpc' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc' /usr/libexec/rpm-build/install -p -m 644 __init__.py betterAsyncore.py exception.py jsonrpcclient.py stompclient.py stompserver.py stomp.py '/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc' Byte-compiling python modules... __init__.pybetterAsyncore.pyexception.pyjsonrpcclient.pystompclient.pystompserver.pystomp.py Byte-compiling python modules (optimized versions) ... __init__.pybetterAsyncore.pyexception.pyjsonrpcclient.pystompclient.pystompserver.pystomp.py make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/yajsonrpc' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib/yajsonrpc' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib' make[3]: Nothing to be done for 'install-exec-am'. make[3]: Nothing to be done for 'install-data-am'. make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/lib' Making install in static make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/bin' /usr/libexec/rpm-build/install -p ./usr/bin/vdsm-client ./usr/bin/vdsm-tool '/usr/src/tmp/vdsm-buildroot/usr/bin' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p -m 644 ./libexec/vdsm/sitecustomize.py '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' Byte-compiling python modules... sitecustomize.py Byte-compiling python modules (optimized versions) ... sitecustomize.py /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/libexec/rpm-build/install -p ./libexec/vdsm/get-conf-item ./libexec/vdsm/supervdsmd ./libexec/vdsm/vdsm-gencerts.sh ./libexec/vdsm/vdsmd '/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/keys' /usr/libexec/rpm-build/install -p -m 644 ./etc/pki/vdsm/keys/libvirt_password '/usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/keys' /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/vdsm/vdsm.conf.d /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/data-center /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/data-center/hsm-tasks /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/data-center/mnt /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/keys /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/certs /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/libvirt-migrate /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/libvirt-spice /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/log/vdsm /usr/bin/mkdir -p --mode=0750 /usr/src/tmp/vdsm-buildroot/var/log/vdsm/commands /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/log/vdsm/import /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/run/vdsm /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/run/vdsm/payload /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/netconfback /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/persistence /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/staging /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/storage /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/vdsm/upgrade /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/log/vdsm/backup /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/var/lib/libvirt/qemu/channels chmod 600 "/usr/src/tmp/vdsm-buildroot/etc/pki/vdsm/keys"/* chmod 775 /usr/src/tmp/vdsm-buildroot/var/lib/libvirt/qemu/channels install -dDm 0755 /usr/src/tmp/vdsm-buildroot/var/log/vdsm touch /usr/src/tmp/vdsm-buildroot/var/log/vdsm/mom.log touch /usr/src/tmp/vdsm-buildroot/var/log/vdsm/supervdsm.log touch /usr/src/tmp/vdsm-buildroot/var/log/vdsm/vdsm.log /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/cron.hourly' /usr/libexec/rpm-build/install -p ./etc/cron.hourly/vdsm-logrotate '/usr/src/tmp/vdsm-buildroot/etc/cron.hourly' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/libvirt/hooks' /usr/libexec/rpm-build/install -p ./etc/libvirt/hooks/qemu '/usr/src/tmp/vdsm-buildroot/etc/libvirt/hooks' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/share/polkit-1/rules.d' /usr/libexec/rpm-build/install -p -m 644 ./usr/share/polkit-1/rules.d/10-vdsm-libvirt-access.rules '/usr/src/tmp/vdsm-buildroot/usr/share/polkit-1/rules.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/lib/systemd/system' /usr/libexec/rpm-build/install -p -m 644 ./usr/lib/systemd/system/dev-hugepages1G.mount '/usr/src/tmp/vdsm-buildroot/lib/systemd/system' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/vdsm/mom.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/vdsm/mom.d/00-defines.policy ./etc/vdsm/mom.d/01-parameters.policy ./etc/vdsm/mom.d/02-balloon.policy ./etc/vdsm/mom.d/03-ksm.policy ./etc/vdsm/mom.d/04-cputune.policy ./etc/vdsm/mom.d/05-iotune.policy '/usr/src/tmp/vdsm-buildroot/etc/vdsm/mom.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/ovirt-imageio/conf.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/ovirt-imageio/conf.d/60-vdsm.conf '/usr/src/tmp/vdsm-buildroot/etc/ovirt-imageio/conf.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/security/limits.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/security/limits.d/99-vdsm.conf '/usr/src/tmp/vdsm-buildroot/etc/security/limits.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/share/man/man1' /usr/libexec/rpm-build/install -p -m 644 ./usr/share/man/man1/vdsm-client.1 ./usr/share/man/man1/vdsm-tool.1 '/usr/src/tmp/vdsm-buildroot/usr/share/man/man1' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/share/man/man8' /usr/libexec/rpm-build/install -p -m 644 ./usr/share/man/man8/vdsmd.8 '/usr/src/tmp/vdsm-buildroot/usr/share/man/man8' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/modprobe.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/modprobe.d/vdsm-bonding-modprobe.conf '/usr/src/tmp/vdsm-buildroot/etc/modprobe.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/modules-load.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/modules-load.d/vdsm.conf '/usr/src/tmp/vdsm-buildroot/etc/modules-load.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/NetworkManager/conf.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/NetworkManager/conf.d/vdsm.conf '/usr/src/tmp/vdsm-buildroot/etc/NetworkManager/conf.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/sudoers.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/sudoers.d/50_vdsm '/usr/src/tmp/vdsm-buildroot/etc/sudoers.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/sysctl.d' /usr/libexec/rpm-build/install -p -m 644 ./etc/sysctl.d/vdsm.conf '/usr/src/tmp/vdsm-buildroot/etc/sysctl.d' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/lib/systemd/system' /usr/libexec/rpm-build/install -p -m 644 ./usr/lib/systemd/system/mom-vdsm.service ./usr/lib/systemd/system/supervdsmd.service ./usr/lib/systemd/system/vdsm-network.service ./usr/lib/systemd/system/vdsmd.service '/usr/src/tmp/vdsm-buildroot/lib/systemd/system' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/share/vdsm' /usr/libexec/rpm-build/install -p -m 644 ./usr/share/vdsm/lvmlocal.conf '/usr/src/tmp/vdsm-buildroot/usr/share/vdsm' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/usr/share/vdsm/autounattend' /usr/libexec/rpm-build/install -p -m 644 ./usr/share/vdsm/autounattend/Win7.xml ./usr/share/vdsm/autounattend/Win8.xml ./usr/share/vdsm/autounattend/Win8.1.xml ./usr/share/vdsm/autounattend/Win10.xml ./usr/share/vdsm/autounattend/Win2008.xml ./usr/share/vdsm/autounattend/Win2008R2.xml ./usr/share/vdsm/autounattend/Win2012.xml ./usr/share/vdsm/autounattend/Win2012R2.xml ./usr/share/vdsm/autounattend/Win2016.xml '/usr/src/tmp/vdsm-buildroot/usr/share/vdsm/autounattend' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/vdsm' /usr/libexec/rpm-build/install -p -m 644 ./etc/vdsm/logger.conf ./etc/vdsm/mom.conf ./etc/vdsm/svdsm.logger.conf '/usr/src/tmp/vdsm-buildroot/etc/vdsm' /usr/bin/mkdir -p '/usr/src/tmp/vdsm-buildroot/etc/vdsm/logrotate' /usr/libexec/rpm-build/install -p -m 644 ./etc/vdsm/logrotate/vdsm '/usr/src/tmp/vdsm-buildroot/etc/vdsm/logrotate' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/static' Making install in vdsm_hooks make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks' Making install in cpuflags make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/cpuflags' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/cpuflags' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_cpuflags make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/cpuflags' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/cpuflags' Making install in ethtool_options make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/ethtool_options' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/ethtool_options' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_network_setup /usr/libexec/rpm-build/install -p ./ethtool_options.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_network_setup/30_ethtool_options make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/ethtool_options' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/ethtool_options' Making install in faqemu make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/faqemu' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/faqemu' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./after_get_caps.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/10_faqemu /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/10_faqemu make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/faqemu' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/faqemu' Making install in fcoe make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fcoe' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fcoe' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_network_setup /usr/libexec/rpm-build/install -p ./fcoe_before_network_setup.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_network_setup/50_fcoe /usr/libexec/rpm-build/install -p -m 644 ./85-vdsm-hook-fcoe.preset \ /usr/src/tmp/vdsm-buildroot/lib/systemd/system-preset/ make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fcoe' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fcoe' Making install in localdisk make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/localdisk' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/localdisk' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/sudoers.d /usr/libexec/rpm-build/install -p -m 644 -m 440 ./sudoers.vdsm_hook_localdisk \ /usr/src/tmp/vdsm-buildroot/etc/sudoers.d/50_vdsm_hook_localdisk /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_disk_prepare /usr/libexec/rpm-build/install -p ./after_disk_prepare \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_disk_prepare/localdisk /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_source /usr/libexec/rpm-build/install -p ./before_vm_migrate_source \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_source/localdisk /usr/libexec/rpm-build/install -p ./localdisk-helper \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/localdisk-helper /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/lib/udev/rules.d /usr/libexec/rpm-build/install -p -m 644 ./12-vdsm-localdisk.rules \ /usr/src/tmp/vdsm-buildroot/lib/udev/rules.d/12-vdsm-localdisk.rules make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/localdisk' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/localdisk' Making install in log_console make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_console' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_console' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_log_console make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_console' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_console' Making install in log_firmware make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_firmware' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_firmware' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_log_firmware make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_firmware' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/log_firmware' Making install in openstacknet make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_create /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_create/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_migrate_destination /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_migrate_destination/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_nic_hotplug /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_nic_hotplug/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_start /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_start/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps /usr/libexec/rpm-build/install -p ./openstacknet_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/openstacknet_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/sudoers.d /usr/libexec/rpm-build/install -p -m 644 ./sudoers \ /usr/src/tmp/vdsm-buildroot/etc/sudoers.d/50_vdsm_hook_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_create /usr/libexec/rpm-build/install -p ./after_device_create.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_create/50_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_migrate_destination /usr/libexec/rpm-build/install -p ./after_device_create.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_migrate_destination/50_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_nic_hotplug /usr/libexec/rpm-build/install -p ./after_device_create.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_nic_hotplug/50_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_start /usr/libexec/rpm-build/install -p ./after_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_start/50_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create /usr/libexec/rpm-build/install -p ./before_device_create.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug /usr/libexec/rpm-build/install -p ./before_device_create.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_openstacknet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps /usr/libexec/rpm-build/install -p ./after_get_caps.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/50_openstacknet make install-data-hook make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' chmod 440 /usr/src/tmp/vdsm-buildroot/etc/sudoers.d/50_vdsm_hook_openstacknet make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/openstacknet' Making install in qemucmdline make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/qemucmdline' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/qemucmdline' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_qemucmdline make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/qemucmdline' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/qemucmdline' Making install in vhostmd make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vhostmd' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vhostmd' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/sudoers.d /usr/libexec/rpm-build/install -p -m 644 ./sudoers.vdsm_hook_vhostmd \ /usr/src/tmp/vdsm-buildroot/etc/sudoers.d/50_vdsm_hook_vhostmd /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_vhostmd /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_destroy /usr/libexec/rpm-build/install -p ./after_vm_destroy.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_destroy/50_vhostmd /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_destination rm -f /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_destination/50_vhostmd ln -s ../before_vm_start/50_vhostmd \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_destination/50_vhostmd /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_dehibernate rm -f /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_dehibernate/50_vhostmd ln -s ../before_vm_start/50_vhostmd \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_dehibernate/50_vhostmd make install-data-hook make[4]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vhostmd' chmod 440 /usr/src/tmp/vdsm-buildroot/etc/sudoers.d/50_vdsm_hook_vhostmd make[4]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vhostmd' make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vhostmd' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vhostmd' Making install in vmfex_dev make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vmfex_dev' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vmfex_dev' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create /usr/libexec/rpm-build/install -p ./vmfex_vnic.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_vmfex /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_migrate_destination /usr/libexec/rpm-build/install -p ./vmfex_vnic.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_migrate_destination/50_vmfex /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug /usr/libexec/rpm-build/install -p ./vmfex_vnic.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_vmfex make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vmfex_dev' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/vmfex_dev' Making install in allocate_net make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/allocate_net' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/allocate_net' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create /usr/libexec/rpm-build/install -p ./before_device_create.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/10_allocate_net make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/allocate_net' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/allocate_net' Making install in boot_hostdev make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/boot_hostdev' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/boot_hostdev' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_boot_hostdev make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/boot_hostdev' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/boot_hostdev' Making install in checkimages make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkimages' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkimages' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/60_checkimages make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkimages' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkimages' Making install in checkips make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkips' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkips' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats /usr/libexec/rpm-build/install -p -m 644 ./checkips_utils.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/checkips_utils.py /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks /usr/libexec/rpm-build/install -p ./checkipsd \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/checkipsd /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/lib/systemd/system /usr/libexec/rpm-build/install -p -Dm 0644 ./vdsm-checkips.service \ /usr/src/tmp/vdsm-buildroot/lib/systemd/system/vdsm-checkips.service /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats /usr/libexec/rpm-build/install -p ./after_get_stats.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/10_checkips make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkips' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/checkips' Making install in diskunmap make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/diskunmap' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/diskunmap' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_diskunmap make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/diskunmap' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/diskunmap' Making install in extnet make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extnet' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extnet' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create /usr/libexec/rpm-build/install -p ./extnet_vnic.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_extnet /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug /usr/libexec/rpm-build/install -p ./extnet_vnic.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_extnet make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extnet' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extnet' Making install in extra_ipv4_addrs make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extra_ipv4_addrs' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extra_ipv4_addrs' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_network_setup /usr/libexec/rpm-build/install -p ./extra_ipv4_addrs.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_network_setup/40_extra_ipv4_addrs make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extra_ipv4_addrs' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/extra_ipv4_addrs' Making install in fileinject make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fileinject' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fileinject' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_fileinject make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fileinject' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fileinject' Making install in fakevmstats make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fakevmstats' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fakevmstats' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_vm_stats /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_get_vm_stats /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_all_vm_stats /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_get_all_vm_stats /usr/libexec/rpm-build/install -p ./after_get_all_vm_stats.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_all_vm_stats/10_fakevmstats make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fakevmstats' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/fakevmstats' Making install in httpsisoboot make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/httpsisoboot' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/httpsisoboot' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_httpsisoboot make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/httpsisoboot' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/httpsisoboot' Making install in macbind make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/macbind' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/macbind' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_macbind make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/macbind' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/macbind' Making install in nestedvt make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/nestedvt' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/nestedvt' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/etc/modprobe.d /usr/libexec/rpm-build/install -p -m 644 ./modprobe.conf \ /usr/src/tmp/vdsm-buildroot/etc/modprobe.d/vdsm-nestedvt.conf /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_nestedvt make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/nestedvt' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/nestedvt' Making install in scratchpad make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/scratchpad' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/scratchpad' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_scratchpad /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_source /usr/libexec/rpm-build/install -p ./before_vm_migrate_source.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_source/50_scratchpad /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_destroy /usr/libexec/rpm-build/install -p ./after_vm_destroy.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_destroy/50_scratchpad make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/scratchpad' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/scratchpad' Making install in smbios make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/smbios' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/smbios' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_smbios make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/smbios' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/smbios' Making install in spiceoptions make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/spiceoptions' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/spiceoptions' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start /usr/libexec/rpm-build/install -p ./before_vm_start.py \ /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_spiceoptions make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/spiceoptions' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks/spiceoptions' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks' make[3]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks' make[3]: Nothing to be done for 'install-exec-am'. (for hook in before_device_create after_device_create before_device_destroy after_device_destroy before_vm_start after_vm_start before_vm_cont after_vm_cont before_vm_pause after_vm_pause before_vm_hibernate after_vm_hibernate before_vm_dehibernate after_vm_dehibernate before_device_migrate_source after_device_migrate_source before_device_migrate_destination after_device_migrate_destination before_vm_migrate_source after_vm_migrate_source before_vm_migrate_destination after_vm_migrate_destination before_vm_destroy after_vm_destroy before_vm_set_ticket after_vm_set_ticket before_update_device after_update_device after_update_device_fail before_nic_hotplug after_nic_hotplug before_nic_hotunplug after_nic_hotunplug after_nic_hotplug_fail after_nic_hotunplug_fail after_disk_prepare before_disk_hotplug after_disk_hotplug before_disk_hotunplug after_disk_hotunplug before_vdsm_start after_vdsm_stop before_network_setup after_network_setup after_network_setup_fail before_set_num_of_cpus after_set_num_of_cpus before_get_vm_stats after_get_vm_stats before_get_all_vm_stats after_get_all_vm_stats before_get_caps after_get_caps before_get_stats after_get_stats after_hostdev_list_by_caps before_memory_hotplug after_memory_hotplug ; do \ /usr/bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/$hook; \ done) make[3]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/vdsm_hooks' Making install in tests make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/tests' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6/tests' make[2]: Nothing to be done for 'install-exec-am'. make[2]: Nothing to be done for 'install-data-am'. make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/tests' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6/tests' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Makefile:993: warning: overriding recipe for target 'check-recursive' Makefile:510: warning: ignoring old recipe for target 'check-recursive' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make[1]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' Makefile:993: warning: overriding recipe for target 'check-recursive' Makefile:510: warning: ignoring old recipe for target 'check-recursive' make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make[2]: Entering directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make[2]: Nothing to be done for 'install-exec-am'. make[2]: Nothing to be done for 'install-data-am'. make[2]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make[1]: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' make: Leaving directory '/usr/src/RPM/BUILD/vdsm-4.50.6' + install -pD -m 755 /usr/src/RPM/SOURCES/vdsm.filetrigger /usr/src/tmp/vdsm-buildroot/usr/lib/rpm/vdsm.filetrigger + rm -rf /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/dnf-plugins + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/vdsm-buildroot (auto) mode of 'usr/lib/python3/site-packages/vdsm/rpc/vdsm-events.pickle' changed from 0444 (r--r--r--) to 0644 (rw-r--r--) mode of 'usr/lib/python3/site-packages/vdsm/rpc/vdsm-api-gluster.pickle' changed from 0444 (r--r--r--) to 0644 (rw-r--r--) mode of 'usr/lib/python3/site-packages/vdsm/rpc/vdsm-api.pickle' changed from 0444 (r--r--r--) to 0644 (rw-r--r--) Verifying and fixing files in /usr/src/tmp/vdsm-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/vdsm-buildroot/ (default) Compressing files in /usr/src/tmp/vdsm-buildroot (auto) Verifying systemd units in /usr/src/tmp/vdsm-buildroot Adjusting library links in /usr/src/tmp/vdsm-buildroot ./var/lib: (from :0) ./lib: (from :0) ./usr/lib: (from :0) Verifying ELF objects in /usr/src/tmp/vdsm-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal) Splitting links to aliased files under /{,s}bin in /usr/src/tmp/vdsm-buildroot Bytecompiling python3 modules in /usr/src/tmp/vdsm-buildroot using /usr/bin/python3 unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/API.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/API.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/alignmentScan.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/alignmentScan.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/client.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/client.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/clientIF.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/clientIF.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/config.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/config.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/constants.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/constants.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/cpuinfo.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/cpuinfo.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/dmidecodeUtil.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/dmidecodeUtil.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/executor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/executor.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/health.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/health.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/hugepages.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/hugepages.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/jobs.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/jobs.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/jsonrpcvdscli.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/jsonrpcvdscli.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/kvm2ovirt.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/kvm2ovirt.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/logUtils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/logUtils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/machinetype.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/machinetype.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/mkimage.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/mkimage.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/moduleloader.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/moduleloader.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/momIF.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/momIF.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/numa.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/numa.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/osinfo.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/osinfo.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/ppc64HardwareInfo.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/ppc64HardwareInfo.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/protocoldetector.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/protocoldetector.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/schedule.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/schedule.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/sslutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/sslutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/supervdsm_server.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/supervdsm_server.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/taskset.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/taskset.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/throttledlog.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/throttledlog.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/utils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/utils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/v2v.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/v2v.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/vdsmd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/vdsmd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/virtsparsify.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/virtsparsify.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/virtsysprep.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__pycache__/virtsysprep.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__pycache__/schema_inconsistency_formatter.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__pycache__/schema_inconsistency_formatter.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__pycache__/vdsmapi.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__pycache__/vdsmapi.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/schema_inconsistency_formatter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/vdsmapi.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/api.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/api.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/base26.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/base26.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/cache.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/cache.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/cmdutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/cmdutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/commands.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/commands.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/concurrent.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/concurrent.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/config.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/config.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/cpuarch.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/cpuarch.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/define.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/define.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/dsaversion.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/dsaversion.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/errors.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/errors.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/eventfd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/eventfd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/exception.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/exception.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/filecontrol.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/filecontrol.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/fileutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/fileutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/function.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/function.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/hooks.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/hooks.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/hostdev.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/hostdev.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/hostutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/hostutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/libvirtconnection.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/libvirtconnection.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/lockfile.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/lockfile.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/logutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/logutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/marks.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/marks.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/nbdutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/nbdutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/osutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/osutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/panic.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/panic.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/password.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/password.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/pki.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/pki.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/proc.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/proc.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/properties.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/properties.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/pthread.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/pthread.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/response.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/response.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/sigutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/sigutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/supervdsm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/supervdsm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/systemctl.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/systemctl.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/systemd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/systemd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/threadlocal.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/threadlocal.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/time.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/time.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/udevadm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/udevadm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/units.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/units.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/unixrpc.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/unixrpc.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/validate.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/validate.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/xmlutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__pycache__/xmlutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__pycache__/address.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__pycache__/address.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/address.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/base26.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cache.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/commands.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/concurrent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/conv.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cpuarch.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/define.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/dsaversion.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/eventfd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/filecontrol.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/fileutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/function.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostdev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/libvirtconnection.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/lockfile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/marks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/nbdutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/osutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/panic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/password.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pki.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/proc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/properties.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pthread.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/response.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/sigutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/supervdsm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/threadlocal.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/time.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/udevadm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/units.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/unixrpc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/validate.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/xmlutils.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/api.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/api.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/apiwrapper.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/apiwrapper.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/cli.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/cli.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/events.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/events.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/exception.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/exception.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fence.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fence.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fstab.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fstab.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/gfapi.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/gfapi.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/hooks.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/hooks.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/services.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/services.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/storagedev.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/storagedev.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/tasks.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/tasks.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/thinstorage.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__pycache__/thinstorage.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/apiwrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/cli.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/events.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fence.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fstab.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/gfapi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/hooks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/services.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/tasks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/thinstorage.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__pycache__/hooking.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__pycache__/hooking.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/hooking.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/api.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/api.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/caps.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/caps.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/rngsources.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/rngsources.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/stats.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__pycache__/stats.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/rngsources.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/stats.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__pycache__/hawkular.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__pycache__/hawkular.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__pycache__/statsd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__pycache__/statsd.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/hawkular.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/statsd.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/api.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/api.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/bond_monitor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/bond_monitor.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/canonicalize.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/canonicalize.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/cmd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/cmd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/connectivity.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/connectivity.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/dhcp_monitor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/dhcp_monitor.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/dns.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/dns.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/driverloader.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/driverloader.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/errors.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/errors.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/ethtool.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/ethtool.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/initializer.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/initializer.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/ipwrapper.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/ipwrapper.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/kernelconfig.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/kernelconfig.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/netconfpersistence.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/netconfpersistence.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/netstats.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/netstats.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/ovn.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/ovn.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/restore_net_config.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/restore_net_config.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/sysctl.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/sysctl.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/validator.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__pycache__/validator.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__pycache__/conversion_util.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__pycache__/conversion_util.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__pycache__/switch_util.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__pycache__/switch_util.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/conversion_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/switch_util.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/qos.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/qos.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/address.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/address.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/validator.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/validator.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/address.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/validator.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/bridge.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/bridge.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/iface.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/iface.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/nic.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/nic.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/setup.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/setup.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/sriov.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/sriov.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/stats.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/stats.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/validator.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/validator.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/vlan.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__pycache__/vlan.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/bond_speed.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/bond_speed.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_driver.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_driver.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options_mapper.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options_mapper.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/bond_speed.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_driver.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/nic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/setup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/sriov.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/stats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/vlan.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/info.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/info.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/lldpad.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/lldpad.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/lldpad.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/lldptool.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/lldptool.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/lldptool.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/addresses.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/addresses.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bonding.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bonding.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bridges.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bridges.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/cache.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/cache.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/nics.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/nics.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/qos.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/qos.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/routes.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/routes.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/addresses.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bonding.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bridges.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/nics.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/qos.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/addr.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/addr.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/libnl.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/libnl.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/link.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/link.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/monitor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/monitor.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/route.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/route.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/waitfor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/waitfor.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/addr.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/libnl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/link.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/route.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/waitfor.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/configurator.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/configurator.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/validator.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/validator.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/api.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/api.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bond.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bond.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bridge_util.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bridge_util.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/dns.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/dns.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/ip.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/ip.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/linux_bridge.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/linux_bridge.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/route.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/route.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/schema.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/schema.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/sriov.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/sriov.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/state.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/state.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/info.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/info.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/network.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/network.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bond.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bridge_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/dns.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ip.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/route.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/schema.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/sriov.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/state.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_parser.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_parser.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_wrapper.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_wrapper.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/cls.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/cls.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/filter.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/filter.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/qdisc.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/qdisc.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_parser.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_wrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/cls.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/filter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/qdisc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/bond_monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/cmd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dhcp_monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dns.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/driverloader.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ethtool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/initializer.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ipwrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/kernelconfig.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netconfpersistence.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netstats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ovn.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/sysctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/validator.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/cpu.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/cpu.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/errors.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/errors.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/memory.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/memory.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/profile.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__pycache__/profile.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/cpu.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/profile.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/Bridge.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/Bridge.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/bindingjsonrpc.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/bindingjsonrpc.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/http.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__pycache__/http.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/Bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/bindingjsonrpc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/http.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/asyncevent.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/asyncevent.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/asyncutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/asyncutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/backends.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/backends.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/bitmaps.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/bitmaps.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blkdiscard.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blkdiscard.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockSD.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockSD.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockVolume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockVolume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockdev.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockdev.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/check.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/check.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/clusterlock.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/clusterlock.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/constants.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/constants.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/curlImgWrap.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/curlImgWrap.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/devicemapper.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/devicemapper.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/directio.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/directio.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/dispatcher.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/dispatcher.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/dmsetup.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/dmsetup.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/exception.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/exception.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fallocate.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fallocate.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileSD.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileSD.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileUtils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileUtils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileVolume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileVolume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/formatconverter.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/formatconverter.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fsutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fsutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fuser.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/fuser.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/glance.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/glance.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterSD.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterSD.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterVolume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterVolume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/guarded.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/guarded.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/hba.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/hba.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/hsm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/hsm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/image.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/image.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/imageSharing.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/imageSharing.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/imagetickets.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/imagetickets.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsi.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsi.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsiadm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsiadm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/localFsSD.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/localFsSD.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lsof.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lsof.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmconf.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmconf.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmdevices.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmdevices.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmfilter.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmfilter.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mailbox.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mailbox.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolumedb.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolumedb.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/merge.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/merge.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/misc.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/misc.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/monitor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/monitor.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mount.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mount.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathconf.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathconf.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathhealth.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathhealth.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/multipath.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/multipath.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/nbd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/nbd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/nfsSD.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/nfsSD.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/nos_brick.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/nos_brick.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/operation.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/operation.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/outOfProcess.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/outOfProcess.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/persistent.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/persistent.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/qemuimg.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/qemuimg.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceFactories.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceFactories.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceManager.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceManager.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/rwlock.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/rwlock.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlock_direct.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlock_direct.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlockconf.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlockconf.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sdc.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sdc.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/securable.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/securable.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sp.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sp.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/spbackends.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/spbackends.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/spwd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/spwd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/storageServer.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/storageServer.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sysfs.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/sysfs.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/task.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/task.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/taskManager.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/taskManager.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/threadPool.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/threadPool.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/transientdisk.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/transientdisk.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/utils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/utils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/validators.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/validators.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/volume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/volume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/volumemetadata.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/volumemetadata.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/workarounds.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/workarounds.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/xlease.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__pycache__/xlease.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/volume_info.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/volume_info.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/add_bitmap.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/add_bitmap.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/amend_volume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/amend_volume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/base.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/base.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/clear_bitmaps.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/clear_bitmaps.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/copy_data.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/copy_data.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/merge.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/merge.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/move_device.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/move_device.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/reduce_domain.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/reduce_domain.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/remove_bitmap.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/remove_bitmap.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/sparsify_volume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/sparsify_volume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/update_volume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/update_volume.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/add_bitmap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/base.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/clear_bitmaps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/merge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/remove_bitmap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/update_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/volume_info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncevent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/backends.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/bitmaps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blkdiscard.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockdev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/check.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/curlImgWrap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/devicemapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/directio.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dispatcher.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dmsetup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fallocate.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/formatconverter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fsutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fuser.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glance.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/guarded.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hba.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imageSharing.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imagetickets.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsiadm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/localFsSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lsof.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmdevices.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmfilter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolumedb.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/merge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathhealth.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nfsSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nos_brick.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/operation.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/persistent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/qemuimg.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceManager.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/rwlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlock_direct.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlockconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/securable.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spwd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sysfs.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/task.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/threadPool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/transientdisk.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/validators.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volumemetadata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/workarounds.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/xlease.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/devicemapper.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/devicemapper.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/dmsetup.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/dmsetup.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/hwinfo.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/hwinfo.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/ksm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/ksm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/lsof.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/lsof.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/managedvolume.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/managedvolume.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/mkimage.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/mkimage.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/multipath.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/multipath.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/nbd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/nbd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/network.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/network.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/sanlock_direct.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/sanlock_direct.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/saslpasswd2.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/saslpasswd2.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/systemctl.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/systemctl.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/test.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/test.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/udev.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/udev.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/virt.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/virt.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/devicemapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/dmsetup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/hwinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/ksm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/lsof.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/managedvolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/mkimage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/nbd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/sanlock_direct.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/saslpasswd2.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/systemctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/test.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/udev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/check_volume_leases.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/check_volume_leases.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/common.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/common.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/config_lvm_filter.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/config_lvm_filter.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/configfile.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/configfile.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/configurator.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/configurator.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/confmeta.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/confmeta.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/confutils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/confutils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/dummybr.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/dummybr.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/dump_volume_chains.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/dump_volume_chains.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/network.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/network.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/nwfilter.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/nwfilter.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/register.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/register.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/service.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/service.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/transient.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/transient.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/upgrade.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/upgrade.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm-id.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm-id.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm_config.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm_config.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/xleases.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__pycache__/xleases.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/bond_defaults.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/bond_defaults.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/certificates.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/certificates.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/libvirt.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/libvirt.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/lvm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/lvm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/managedvolumedb.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/managedvolumedb.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/multipath.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/multipath.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/passwd.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/passwd.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sanlock.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sanlock.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sebool.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sebool.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/bond_defaults.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/certificates.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/passwd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/check_volume_leases.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/common.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/config_lvm_filter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configfile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confmeta.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dummybr.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/nwfilter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/service.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/upgrade.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm-id.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm_config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/xleases.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/backup.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/backup.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/blockjob.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/blockjob.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/cpumanagement.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/cpumanagement.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/displaynetwork.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/displaynetwork.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/domain_descriptor.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/domain_descriptor.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/domxml_preprocess.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/domxml_preprocess.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/errors.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/errors.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/events.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/events.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/externaldata.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/externaldata.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/filedata.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/filedata.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagent.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagent.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagenthelpers.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagenthelpers.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtnetwork.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtnetwork.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtxml.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtxml.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/livemerge.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/livemerge.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/metadata.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/metadata.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/migration.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/migration.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/periodic.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/periodic.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/qemuguestagent.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/qemuguestagent.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/recovery.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/recovery.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/sampling.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/sampling.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/saslpasswd2.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/saslpasswd2.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/secret.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/secret.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/thinp.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/thinp.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/utils.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/utils.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/virdomain.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/virdomain.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vm.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vm.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmchannels.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmchannels.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmexitreason.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmexitreason.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmpowerdown.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmpowerdown.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstats.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstats.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstatus.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstatus.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmtune.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmtune.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmxml.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmxml.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/xmlconstants.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__pycache__/xmlconstants.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/seal.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/seal.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/snapshot.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/snapshot.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/seal.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/common.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/common.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/compat.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/compat.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/core.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/core.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/drivename.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/drivename.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/graphics.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/graphics.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hostdevice.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hostdevice.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hwclass.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hwclass.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lease.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lease.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lookup.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lookup.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/network.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/network.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storage.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storage.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storagexml.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storagexml.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/common.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/compat.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/drivename.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/graphics.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hostdevice.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hwclass.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lease.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lookup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storagexml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/backup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/blockjob.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/cpumanagement.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/displaynetwork.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domain_descriptor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domxml_preprocess.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/events.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/externaldata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/filedata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagenthelpers.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtnetwork.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtxml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/livemerge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/metadata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/recovery.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/saslpasswd2.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/secret.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/virdomain.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmchannels.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmexitreason.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmpowerdown.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstatus.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmtune.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmxml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/xmlconstants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/alignmentScan.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/client.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/cpuinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/dmidecodeUtil.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/executor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hugepages.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jobs.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jsonrpcvdscli.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/kvm2ovirt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/logUtils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/machinetype.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/moduleloader.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/momIF.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/numa.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/ppc64HardwareInfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/protocoldetector.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/schedule.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/sslutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/taskset.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/throttledlog.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsparsify.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsysprep.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__pycache__/client.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__pycache__/client.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/client.py unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/__init__.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/__init__.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/betterAsyncore.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/betterAsyncore.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/exception.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/exception.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/jsonrpcclient.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/jsonrpcclient.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/stomp.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/stomp.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompclient.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompclient.cpython-312.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompserver.cpython-312.opt-1.pyc unlink /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompserver.cpython-312.pyc compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/betterAsyncore.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/jsonrpcclient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stomp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompserver.py Bytecompiling python3 modules with optimization in /usr/src/tmp/vdsm-buildroot using /usr/bin/python3 -O compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/schema_inconsistency_formatter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/vdsmapi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/address.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/base26.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cache.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/commands.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/concurrent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/conv.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cpuarch.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/define.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/dsaversion.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/eventfd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/filecontrol.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/fileutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/function.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostdev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/libvirtconnection.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/lockfile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/marks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/nbdutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/osutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/panic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/password.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pki.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/proc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/properties.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pthread.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/response.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/sigutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/supervdsm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/threadlocal.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/time.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/udevadm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/units.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/unixrpc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/validate.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/xmlutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/apiwrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/cli.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/events.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fence.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fstab.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/gfapi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/hooks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/services.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/tasks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/thinstorage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/hooking.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/rngsources.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/stats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/hawkular.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/statsd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/conversion_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/switch_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/address.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/bond_speed.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_driver.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/nic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/setup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/sriov.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/stats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/vlan.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/lldpad.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/lldptool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/addresses.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bonding.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bridges.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/nics.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/qos.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/addr.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/libnl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/link.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/route.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/waitfor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bond.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bridge_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/dns.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ip.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/route.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/schema.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/sriov.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/state.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_parser.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_wrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/cls.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/filter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/qdisc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/bond_monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/cmd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dhcp_monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dns.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/driverloader.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ethtool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/initializer.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ipwrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/kernelconfig.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netconfpersistence.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netstats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ovn.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/sysctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/cpu.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/profile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/Bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/bindingjsonrpc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/http.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/add_bitmap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/base.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/clear_bitmaps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/merge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/remove_bitmap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/update_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/volume_info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncevent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/backends.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/bitmaps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blkdiscard.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockdev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/check.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/curlImgWrap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/devicemapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/directio.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dispatcher.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dmsetup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fallocate.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/formatconverter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fsutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fuser.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glance.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/guarded.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hba.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imageSharing.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imagetickets.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsiadm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/localFsSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lsof.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmdevices.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmfilter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolumedb.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/merge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathhealth.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nfsSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nos_brick.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/operation.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/persistent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/qemuimg.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceManager.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/rwlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlock_direct.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlockconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/securable.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spwd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sysfs.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/task.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/threadPool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/transientdisk.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/validators.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volumemetadata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/workarounds.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/xlease.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/devicemapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/dmsetup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/hwinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/ksm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/lsof.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/managedvolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/mkimage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/nbd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/sanlock_direct.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/saslpasswd2.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/systemctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/test.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/udev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/bond_defaults.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/certificates.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/passwd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/check_volume_leases.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/common.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/config_lvm_filter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configfile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confmeta.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dummybr.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/nwfilter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/service.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/upgrade.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm-id.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm_config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/xleases.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/seal.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/common.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/compat.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/drivename.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/graphics.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hostdevice.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hwclass.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lease.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lookup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storagexml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/backup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/blockjob.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/cpumanagement.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/displaynetwork.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domain_descriptor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domxml_preprocess.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/events.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/externaldata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/filedata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagenthelpers.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtnetwork.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtxml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/livemerge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/metadata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/recovery.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/saslpasswd2.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/secret.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/virdomain.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmchannels.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmexitreason.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmpowerdown.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstatus.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmtune.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmxml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/xmlconstants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/alignmentScan.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/client.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/cpuinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/dmidecodeUtil.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/executor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hugepages.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jobs.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jsonrpcvdscli.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/kvm2ovirt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/logUtils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/machinetype.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/moduleloader.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/momIF.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/numa.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/ppc64HardwareInfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/protocoldetector.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/schedule.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/sslutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/taskset.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/throttledlog.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsparsify.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsysprep.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/client.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/betterAsyncore.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/jsonrpcclient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stomp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompserver.py Bytecompiling python3 modules with optimization-2 in /usr/src/tmp/vdsm-buildroot using /usr/bin/python3 -OO compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/schema_inconsistency_formatter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/api/vdsmapi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/network/address.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/base26.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cache.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/commands.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/concurrent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/conv.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cpuarch.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/define.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/dsaversion.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/eventfd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/filecontrol.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/fileutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/function.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostdev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/libvirtconnection.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/lockfile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/marks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/nbdutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/osutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/panic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/password.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pki.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/proc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/properties.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pthread.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/response.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/sigutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/supervdsm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/threadlocal.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/time.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/udevadm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/units.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/unixrpc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/validate.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/xmlutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/apiwrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/cli.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/events.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fence.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fstab.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/gfapi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/hooks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/services.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/tasks.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/thinstorage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/hooking.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/rngsources.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/stats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/hawkular.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/statsd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/conversion_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/common/switch_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/address.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/bond_speed.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_driver.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/nic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/setup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/sriov.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/stats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/vlan.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/lldpad.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/lldptool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/addresses.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bonding.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bridges.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/nics.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/qos.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/addr.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/libnl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/link.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/route.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/waitfor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bond.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bridge_util.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/dns.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ip.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/route.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/schema.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/sriov.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/state.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_parser.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_wrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/cls.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/filter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/qdisc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/bond_monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/cmd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dhcp_monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dns.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/driverloader.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ethtool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/initializer.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ipwrapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/kernelconfig.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netconfpersistence.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netstats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ovn.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/sysctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/validator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/cpu.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/profile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/Bridge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/bindingjsonrpc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/http.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/add_bitmap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/base.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/clear_bitmaps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/merge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/remove_bitmap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/update_volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/volume_info.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncevent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/backends.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/bitmaps.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blkdiscard.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockdev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/check.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/curlImgWrap.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/devicemapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/directio.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dispatcher.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dmsetup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fallocate.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/formatconverter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fsutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fuser.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glance.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/guarded.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hba.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imageSharing.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imagetickets.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsiadm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/localFsSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lsof.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmdevices.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmfilter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolumedb.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/merge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathhealth.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nfsSD.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nos_brick.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/operation.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/persistent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/qemuimg.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceManager.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/rwlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlock_direct.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlockconf.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdc.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/securable.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spwd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sysfs.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/task.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/threadPool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/transientdisk.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/validators.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volumemetadata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/workarounds.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/xlease.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/devicemapper.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/dmsetup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/hwinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/ksm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/lsof.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/managedvolume.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/mkimage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/nbd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/sanlock_direct.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/saslpasswd2.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/systemctl.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/test.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/udev.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/bond_defaults.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/certificates.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/passwd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/check_volume_leases.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/common.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/config_lvm_filter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configfile.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurator.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confmeta.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dummybr.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/nwfilter.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/service.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/upgrade.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm-id.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm_config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/xleases.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/seal.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/common.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/compat.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/drivename.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/graphics.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hostdevice.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hwclass.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lease.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lookup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/network.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storagexml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/backup.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/blockjob.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/cpumanagement.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/displaynetwork.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domain_descriptor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domxml_preprocess.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/errors.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/events.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/externaldata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/filedata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagenthelpers.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtnetwork.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtxml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/livemerge.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/metadata.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/recovery.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/saslpasswd2.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/secret.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/virdomain.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmchannels.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmexitreason.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmpowerdown.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstats.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstatus.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmtune.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmxml.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/xmlconstants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/alignmentScan.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/client.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/config.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/constants.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/cpuinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/dmidecodeUtil.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/executor.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hugepages.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jobs.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jsonrpcvdscli.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/kvm2ovirt.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/logUtils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/machinetype.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/moduleloader.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/momIF.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/numa.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/ppc64HardwareInfo.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/protocoldetector.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/schedule.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/sslutils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/taskset.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/throttledlog.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/utils.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsparsify.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsysprep.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/client.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__init__.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/betterAsyncore.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/exception.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/jsonrpcclient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stomp.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py compile /usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompserver.py Hardlinking identical .pyc and .opt-?.pyc files './usr/lib/python3/site-packages/vdsm/__pycache__/API.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/API.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/alignmentScan.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/alignmentScan.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/alignmentScan.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/alignmentScan.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/client.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/client.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/clientIF.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/clientIF.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/config.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/config.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/config.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/config.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/constants.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/constants.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/constants.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/constants.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/cpuinfo.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/cpuinfo.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/dmidecodeUtil.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/dmidecodeUtil.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/dmidecodeUtil.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/dmidecodeUtil.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/executor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/executor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/hugepages.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/hugepages.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/jobs.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/jobs.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/jsonrpcvdscli.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/jsonrpcvdscli.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/jsonrpcvdscli.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/jsonrpcvdscli.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/kvm2ovirt.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/kvm2ovirt.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/kvm2ovirt.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/kvm2ovirt.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/logUtils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/logUtils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/machinetype.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/machinetype.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/mkimage.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/mkimage.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/moduleloader.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/moduleloader.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/moduleloader.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/moduleloader.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/momIF.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/momIF.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/numa.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/numa.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/osinfo.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/osinfo.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/ppc64HardwareInfo.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/ppc64HardwareInfo.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/ppc64HardwareInfo.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/ppc64HardwareInfo.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/protocoldetector.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/protocoldetector.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/schedule.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/schedule.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/sslutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/sslutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/supervdsm_server.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/supervdsm_server.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/taskset.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/taskset.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/throttledlog.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/throttledlog.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/utils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/utils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/v2v.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/v2v.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/vdsmd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/vdsmd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/virtsparsify.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/virtsparsify.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/__pycache__/virtsysprep.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/__pycache__/virtsysprep.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/api/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/api/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/api/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/api/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/api/__pycache__/schema_inconsistency_formatter.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/api/__pycache__/schema_inconsistency_formatter.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/api/__pycache__/schema_inconsistency_formatter.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/api/__pycache__/schema_inconsistency_formatter.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/api/__pycache__/vdsmapi.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/api/__pycache__/vdsmapi.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/api.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/api.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/base26.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/base26.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/cache.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/cache.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/cmdutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/cmdutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/commands.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/commands.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/concurrent.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/concurrent.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/config.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/config.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/cpuarch.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/cpuarch.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/define.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/define.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/define.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/define.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/dsaversion.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/dsaversion.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/errors.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/errors.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/eventfd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/eventfd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/exception.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/exception.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/filecontrol.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/filecontrol.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/fileutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/fileutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/function.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/function.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/hooks.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/hooks.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/hooks.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/hooks.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/hostdev.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/hostdev.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/hostutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/hostutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/lockfile.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/lockfile.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/logutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/logutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/marks.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/marks.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/nbdutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/nbdutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/osutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/osutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/panic.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/panic.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/panic.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/panic.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/password.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/password.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/pki.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/pki.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/pki.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/pki.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/proc.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/proc.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/proc.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/proc.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/properties.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/properties.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/pthread.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/pthread.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/response.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/response.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/sigutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/sigutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/supervdsm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/supervdsm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/systemctl.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/systemctl.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/systemd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/systemd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/threadlocal.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/threadlocal.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/threadlocal.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/threadlocal.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/time.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/time.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/udevadm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/udevadm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/units.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/units.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/unixrpc.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/unixrpc.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/validate.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/validate.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/__pycache__/xmlutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/__pycache__/xmlutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/network/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/network/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/common/network/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/common/network/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/common/network/__pycache__/address.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/common/network/__pycache__/address.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/api.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/api.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/apiwrapper.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/apiwrapper.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/apiwrapper.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/apiwrapper.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/cli.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/cli.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/events.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/events.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/events.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/events.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/exception.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/exception.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/exception.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/exception.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fence.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fence.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fence.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fence.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fstab.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fstab.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fstab.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/fstab.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/gfapi.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/gfapi.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/gfapi.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/gfapi.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/hooks.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/hooks.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/services.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/services.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/storagedev.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/storagedev.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/storagedev.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/storagedev.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/tasks.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/tasks.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/tasks.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/tasks.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/thinstorage.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/gluster/__pycache__/thinstorage.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/hook/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/hook/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/hook/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/hook/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/hook/__pycache__/hooking.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/hook/__pycache__/hooking.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/api.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/api.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/caps.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/caps.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/rngsources.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/rngsources.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/rngsources.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/rngsources.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/host/__pycache__/stats.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/host/__pycache__/stats.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/hawkular.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/hawkular.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/hawkular.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/hawkular.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/statsd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/metrics/__pycache__/statsd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/api.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/api.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/bond_monitor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/bond_monitor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/bond_monitor.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/bond_monitor.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/canonicalize.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/canonicalize.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/cmd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/cmd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/connectivity.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/connectivity.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/connectivity.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/connectivity.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/dhcp_monitor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/dhcp_monitor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/dns.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/dns.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/driverloader.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/driverloader.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/driverloader.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/driverloader.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/errors.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/errors.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/ethtool.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/ethtool.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/initializer.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/initializer.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/ipwrapper.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/ipwrapper.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/kernelconfig.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/kernelconfig.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/netconfpersistence.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/netconfpersistence.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/netstats.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/netstats.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/ovn.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/ovn.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/ovn.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/ovn.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/restore_net_config.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/restore_net_config.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/sysctl.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/sysctl.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/sysctl.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/sysctl.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/validator.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/validator.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/__pycache__/validator.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/__pycache__/validator.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/conversion_util.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/conversion_util.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/switch_util.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/switch_util.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/switch_util.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/common/__pycache__/switch_util.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/qos.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/configurators/__pycache__/qos.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/address.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/address.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/validator.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/ip/__pycache__/validator.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/bridge.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/bridge.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/iface.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/iface.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/nic.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/nic.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/setup.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/setup.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/sriov.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/sriov.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/sriov.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/sriov.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/stats.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/stats.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/stats.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/stats.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/validator.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/validator.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/validator.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/validator.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/vlan.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/__pycache__/vlan.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/bond_speed.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/bond_speed.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_driver.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_driver.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_driver.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_driver.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options_mapper.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/link/bond/__pycache__/sysfs_options_mapper.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/info.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/info.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/lldpad.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/lldpad.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/lldpad.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldp/__pycache__/lldpad.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/lldptool.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/lldpad/__pycache__/lldptool.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/addresses.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/addresses.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bonding.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bonding.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bridges.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/bridges.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/cache.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/cache.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/nics.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/nics.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/nics.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/nics.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/qos.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/qos.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/routes.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netinfo/__pycache__/routes.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/addr.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/addr.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/libnl.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/libnl.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/link.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/link.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/monitor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/monitor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/route.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/route.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/waitfor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netlink/__pycache__/waitfor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/configurator.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/configurator.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/validator.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/validator.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/validator.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/netswitch/__pycache__/validator.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/api.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/api.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bond.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bond.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bond.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bond.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bridge_util.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bridge_util.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bridge_util.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/bridge_util.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/dns.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/dns.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/ip.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/ip.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/ip.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/ip.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/linux_bridge.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/linux_bridge.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/route.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/route.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/route.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/route.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/schema.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/schema.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/schema.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/schema.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/sriov.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/sriov.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/sriov.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/sriov.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/state.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/__pycache__/state.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/info.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/info.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/info.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/info.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/network.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/__pycache__/network.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_parser.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_parser.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_wrapper.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_wrapper.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_wrapper.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/_wrapper.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/cls.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/cls.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/filter.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/filter.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/qdisc.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/network/tc/__pycache__/qdisc.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/cpu.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/cpu.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/errors.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/errors.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/memory.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/memory.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/profile.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/profile.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/profile.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/profiling/__pycache__/profile.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/Bridge.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/Bridge.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/bindingjsonrpc.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/bindingjsonrpc.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/bindingjsonrpc.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/bindingjsonrpc.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/http.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/rpc/__pycache__/http.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/backends.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/backends.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/backends.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/backends.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/bitmaps.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/bitmaps.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/blkdiscard.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/blkdiscard.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockSD.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockSD.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockdev.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/blockdev.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/clusterlock.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/clusterlock.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/constants.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/constants.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/constants.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/constants.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/curlImgWrap.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/curlImgWrap.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/curlImgWrap.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/curlImgWrap.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/devicemapper.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/devicemapper.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/directio.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/directio.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/directio.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/directio.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dispatcher.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dispatcher.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dispatcher.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dispatcher.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dmsetup.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dmsetup.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dmsetup.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/dmsetup.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/exception.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/exception.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/exception.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/exception.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fallocate.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fallocate.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileSD.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileSD.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileUtils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileUtils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileVolume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fileVolume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/formatconverter.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/formatconverter.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fsutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fsutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fuser.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fuser.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fuser.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/fuser.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glance.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glance.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterSD.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterSD.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterSD.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterSD.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterVolume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/glusterVolume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/guarded.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/guarded.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/hba.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/hba.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/hsm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/hsm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/image.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/image.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/imageSharing.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/imageSharing.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/imageSharing.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/imageSharing.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/imagetickets.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/imagetickets.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsi.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsi.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsiadm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsiadm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsiadm.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/iscsiadm.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/localFsSD.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/localFsSD.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lsof.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lsof.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lsof.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lsof.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmconf.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmconf.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmdevices.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmdevices.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmfilter.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/lvmfilter.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolumedb.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/managedvolumedb.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/merge.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/merge.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/misc.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/misc.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/monitor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/monitor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/mount.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/mount.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathconf.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathconf.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathhealth.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/mpathhealth.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/multipath.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/multipath.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/nbd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/nbd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/nfsSD.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/nfsSD.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/nos_brick.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/nos_brick.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/operation.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/operation.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/outOfProcess.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/outOfProcess.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/persistent.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/persistent.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/qemuimg.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/qemuimg.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceFactories.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceFactories.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceManager.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/resourceManager.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/rwlock.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/rwlock.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlock_direct.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlock_direct.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlock_direct.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlock_direct.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlockconf.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sanlockconf.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sdc.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sdc.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/securable.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/securable.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sp.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sp.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/spbackends.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/spbackends.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/spwd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/spwd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/storageServer.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/storageServer.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sysfs.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/sysfs.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/task.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/task.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/taskManager.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/taskManager.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/threadPool.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/threadPool.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/transientdisk.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/transientdisk.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/utils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/utils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/utils.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/utils.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/validators.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/validators.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/volume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/volume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/volumemetadata.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/volumemetadata.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/workarounds.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/workarounds.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/__pycache__/xlease.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/__pycache__/xlease.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/volume_info.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/__pycache__/volume_info.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/add_bitmap.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/add_bitmap.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/add_bitmap.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/add_bitmap.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/amend_volume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/amend_volume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/amend_volume.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/amend_volume.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/base.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/base.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/base.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/base.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/clear_bitmaps.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/clear_bitmaps.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/clear_bitmaps.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/clear_bitmaps.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/copy_data.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/copy_data.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/merge.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/merge.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/move_device.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/move_device.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/reduce_domain.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/reduce_domain.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/remove_bitmap.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/remove_bitmap.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/remove_bitmap.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/remove_bitmap.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/sparsify_volume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/sparsify_volume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/update_volume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/update_volume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/update_volume.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/storage/sdm/api/__pycache__/update_volume.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/devicemapper.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/devicemapper.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/devicemapper.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/devicemapper.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/dmsetup.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/dmsetup.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/dmsetup.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/dmsetup.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/hwinfo.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/hwinfo.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/hwinfo.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/hwinfo.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/ksm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/ksm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/lsof.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/lsof.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/lsof.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/lsof.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/managedvolume.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/managedvolume.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/managedvolume.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/managedvolume.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/mkimage.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/mkimage.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/mkimage.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/mkimage.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/multipath.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/multipath.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/multipath.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/multipath.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/nbd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/nbd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/nbd.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/nbd.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/network.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/network.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/network.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/network.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/sanlock_direct.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/sanlock_direct.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/sanlock_direct.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/sanlock_direct.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/saslpasswd2.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/saslpasswd2.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/saslpasswd2.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/saslpasswd2.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/systemctl.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/systemctl.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/systemctl.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/systemctl.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/test.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/test.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/test.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/test.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/udev.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/udev.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/virt.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/supervdsm_api/__pycache__/virt.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/check_volume_leases.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/check_volume_leases.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/common.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/common.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/config_lvm_filter.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/config_lvm_filter.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/configfile.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/configfile.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/configurator.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/configurator.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/confmeta.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/confmeta.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/confmeta.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/confmeta.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/confutils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/confutils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/dummybr.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/dummybr.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/dump_volume_chains.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/dump_volume_chains.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/network.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/network.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/nwfilter.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/nwfilter.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/register.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/register.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/service.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/service.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/transient.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/transient.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/upgrade.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/upgrade.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm-id.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm-id.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm_config.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/vdsm_config.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/__pycache__/xleases.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/__pycache__/xleases.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/bond_defaults.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/bond_defaults.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/bond_defaults.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/bond_defaults.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/certificates.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/certificates.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/certificates.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/certificates.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/libvirt.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/libvirt.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/lvm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/lvm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/managedvolumedb.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/managedvolumedb.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/multipath.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/multipath.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/passwd.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/passwd.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/passwd.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/passwd.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sanlock.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sanlock.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sebool.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/tool/configurators/__pycache__/sebool.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/backup.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/backup.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/blockjob.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/blockjob.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/blockjob.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/blockjob.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/cpumanagement.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/cpumanagement.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/displaynetwork.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/displaynetwork.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/displaynetwork.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/displaynetwork.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/domain_descriptor.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/domain_descriptor.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/domxml_preprocess.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/domxml_preprocess.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/errors.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/errors.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/events.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/events.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/events.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/events.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/externaldata.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/externaldata.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/filedata.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/filedata.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagent.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagent.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagenthelpers.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/guestagenthelpers.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtnetwork.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtnetwork.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtxml.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtxml.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtxml.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/libvirtxml.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/livemerge.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/livemerge.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/metadata.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/metadata.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/migration.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/migration.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/periodic.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/periodic.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/qemuguestagent.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/qemuguestagent.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/recovery.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/recovery.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/sampling.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/sampling.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/saslpasswd2.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/saslpasswd2.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/saslpasswd2.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/saslpasswd2.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/secret.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/secret.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/thinp.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/thinp.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/utils.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/utils.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/virdomain.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/virdomain.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vm.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vm.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmchannels.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmchannels.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmexitreason.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmexitreason.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmexitreason.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmexitreason.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmpowerdown.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmpowerdown.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstats.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstats.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstatus.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstatus.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstatus.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmstatus.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmtune.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmtune.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmxml.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/vmxml.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/xmlconstants.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/xmlconstants.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/__pycache__/xmlconstants.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/__pycache__/xmlconstants.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/seal.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/seal.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/snapshot.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/jobs/__pycache__/snapshot.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/common.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/common.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/compat.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/compat.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/compat.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/compat.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/core.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/core.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/drivename.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/drivename.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/drivename.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/drivename.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/graphics.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/graphics.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hostdevice.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hostdevice.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hwclass.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hwclass.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hwclass.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/hwclass.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lease.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lease.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lookup.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/lookup.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/network.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/network.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storage.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storage.cpython-312.pyc' './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storagexml.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsm/virt/vmdevices/__pycache__/storagexml.cpython-312.pyc' './usr/lib/python3/site-packages/vdsmclient/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsmclient/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/vdsmclient/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/vdsmclient/__pycache__/__init__.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/vdsmclient/__pycache__/client.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/vdsmclient/__pycache__/client.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/betterAsyncore.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/betterAsyncore.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/exception.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/exception.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/jsonrpcclient.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/jsonrpcclient.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stomp.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stomp.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stomp.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stomp.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompclient.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompclient.cpython-312.pyc' './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompserver.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/yajsonrpc/__pycache__/stompserver.cpython-312.pyc' './usr/libexec/vdsm/__pycache__/sitecustomize.cpython-312.opt-1.pyc' => './usr/libexec/vdsm/__pycache__/sitecustomize.cpython-312.pyc' Processing files: vdsm-4.50.6-alt1.1 Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.53315 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd vdsm-4.50.6 + DOCDIR=/usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + export DOCDIR + rm -rf /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + /bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + cp -prL README.md /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R go-w /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R a+rX /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + cp -prL lib/vdsm/vdsm.conf.sample /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R go-w /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R a+rX /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + cp -prL README.logging /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R go-w /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R a+rX /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + cp -prL COPYING /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R go-w /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + chmod -R a+rX /usr/src/tmp/vdsm-buildroot/usr/share/doc/vdsm-4.50.6 + exit 0 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.pyhDWl find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) python.prov.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/sitecustomize.py: ASCII Java program text (SKIP) python3.prov.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/sitecustomize.py: ASCII Java program text (SKIP) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.2cbxNO find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) python.req.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/sitecustomize.py: ASCII Java program text (SKIP) python3.req.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/sitecustomize.py: ASCII Java program text (SKIP) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/curl-img-wrap: skipping "sys" lines:[23] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/daemonAdapter: skipping "sys" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/fallocate: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/fc-scan: skipping "sys" lines:[31] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/fc-scan: "vdsm" lines:[33] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/get-conf-item: skipping "sys" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/get-conf-item: "vdsm.config" lines:[[14]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/get-conf-item: "six.moves" lines:[[[16]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/kvm2ovirt: "vdsm" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/managedvolume-helper: skipping "sys" lines:[24] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/managedvolume-helper: "vdsm.storage" lines:[[[101]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/supervdsmd: skipping "sys" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/supervdsmd: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/vdsmd: "vdsm" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/vm_libvirt_hook.py: skipping "sys" lines:[6] shell.req: /usr/src/tmp/vdsm-buildroot/etc/cron.hourly/vdsm-logrotate: /usr/sbin/logrotate -> /usr/sbin/logrotate (raw, not installed) shell.req: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/vdsm-gencerts.sh: /sbin/restorecon -> /sbin/restorecon (raw, not installed) shell.req: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/vdsm-gencerts.sh: /usr/bin/certtool -> /usr/bin/certtool (raw, not installed) systemd-services.req: /usr/src/tmp/vdsm-buildroot/lib/systemd/system/mom-vdsm.service: /usr/sbin/momd -> /usr/sbin/momd (raw, not installed) find-requires: FINDPACKAGE-COMMANDS: awk date df grep mkdir mktemp ps rm sleep sort stat systemctl tail touch uniq wc Finding Requires(pre) (using /usr/lib/rpm/find-scriptlet-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.d4QEp5 find-scriptlet-requires: FINDPACKAGE-COMMANDS: groupadd rpm systemctl touch useradd usermod Finding Requires(post) (using /usr/lib/rpm/find-scriptlet-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.b6w6ai find-scriptlet-requires: FINDPACKAGE-COMMANDS: chmod chown systemctl systemd-tmpfiles touch Finding Requires(preun) (using /usr/lib/rpm/find-scriptlet-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.inXYF3 find-scriptlet-requires: FINDPACKAGE-COMMANDS: sd_booted Requires: numactl, chrony, crontabs, which, sudo >= 1.7.3, logrotate, lshw, lsof, ndctl, swtpm-tools, xz, python3-module-rpm, python3-module-six >= 1.9.0, python3-module-requests, curl, python3-module-vdsm-rpc-http = 4.50.6-alt1.1, python3-module-vdsm-rpc = 4.50.6-alt1.1, mom >= 0.5.14, util-linux, nfs-utils, ksmtuned, ovirt-imageio-common, ovirt-imageio-daemon, ovirt-vmconsole, libvirt-client, libvirt-daemon-config-nwfilter, libvirt-lock-sanlock, libvirt-kvm >= 7.6.0, python3-module-libvirt, open-iscsi, sanlock >= 3.8.3, python3-module-sanlock, multipath-tools, python3-module-augeas, fence-agents-all >= 4.2.1, systemd >= 219, cyrus-sasl2, lvm2 >= 2.02.177, qemu-kvm >= 6.0.0, psmisc >= 22.6, sos >= 3.7, tree, dosfstools, xorriso, guestfs-tools, /bin/bash, /bin/cat, /bin/chown, /bin/rm, /bin/sh, /etc/cron.hourly, /etc/sudoers.d, /lib/udev/rules.d, /sbin/restorecon, /usr/bin/certtool, /usr/bin/chmod, /usr/bin/chown, /usr/bin/find, /usr/bin/logger, /usr/bin/mkdir, /usr/bin/python3, /usr/bin/touch, /usr/bin/vdsm-tool, /usr/sbin/logrotate, /usr/sbin/momd, coreutils, gawk, grep, procps, python3(__future__) < 0, python3(argparse) < 0, python3(contextlib) < 0, python3(ctypes) < 0, python3(glob) < 0, python3(io) < 0, python3(json) < 0, python3(logging) < 0, python3(mmap) < 0, python3(os) < 0, python3(os_brick.initiator) < 0, python3(random) < 0, python3(signal) < 0, python3(stat) < 0, python3(syslog) < 0, python3(traceback) < 0, python3(vdsm.common) < 0, python3(vdsm.common.cmdutils) < 0, python3(vdsm.config) < 0, python3(vdsm.virt.vmdevices) < 0, python3(xml.etree.ElementTree) < 0, rpmlib(PosttransFiletriggers) Requires(interp): /bin/sh Requires(pre): /bin/sh, shadow-utils, rpm, coreutils, systemd Requires(post): /bin/sh, coreutils, systemd, /sbin/systemd-tmpfiles Requires(preun): /bin/sh, /usr/bin/vdsm-tool, service Processing files: python3-module-vdsm-rpc-http-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.RADbso find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.0vrzwp find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/http.py: skipping "errno" lines:[6] Provides: vdsm-xmlrpc = 4.50.6-alt1.1, vdsm-http = 4.50.6-alt1.1, python3(vdsm.rpc.http) Requires: /usr/lib/python3/site-packages, python3(__future__) < 0, python3(json) < 0, python3(logging) < 0, python3(re) < 0, python3(six.moves) < 0, python3(six.moves.BaseHTTPServer) < 0, python3(socket) < 0, python3(threading) < 0, python3(vdsm) < 0, python3(vdsm.common) < 0, python3(vdsm.common.define) < 0, python3(vdsm.executor) < 0 Obsoletes: vdsm-xmlrpc < 4.50.6-alt1.1 Processing files: vdsm-client-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.tfD7Pc find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.HBtxTq find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsmclient py3prov: detected potential module:vdsmclient py3req:/usr/src/tmp/vdsm-buildroot/usr/bin/vdsm-client: "vdsmclient" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsmclient/client.py: skipping "sys" lines:[125] Provides: vdsm-cli = 4.50.6-alt1.1, python3(vdsmclient), python3(vdsmclient.client) Requires: python3-module-vdsm-api = 4.50.6-alt1.1, python3-module-yajsonrpc = 4.50.6-alt1.1, /usr/bin/python3, /usr/lib/python3/site-packages, python3(__future__) < 0, python3(argparse) < 0, python3(json) < 0, python3(os) < 0, python3(six) < 0, python3(vdsm) < 0, python3(vdsm.api) < 0 Obsoletes: vdsm-cli < 4.50.6-alt1.1 Processing files: python3-module-vdsm-api-4.50.6-alt1.1 Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.11152 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd vdsm-4.50.6 + DOCDIR=/usr/src/tmp/vdsm-buildroot/usr/share/doc/python3-module-vdsm-api-4.50.6 + export DOCDIR + rm -rf /usr/src/tmp/vdsm-buildroot/usr/share/doc/python3-module-vdsm-api-4.50.6 + /bin/mkdir -p /usr/src/tmp/vdsm-buildroot/usr/share/doc/python3-module-vdsm-api-4.50.6 + cp -prL lib/vdsm/api/vdsm-api.html /usr/src/tmp/vdsm-buildroot/usr/share/doc/python3-module-vdsm-api-4.50.6 + chmod -R go-w /usr/src/tmp/vdsm-buildroot/usr/share/doc/python3-module-vdsm-api-4.50.6 + chmod -R a+rX /usr/src/tmp/vdsm-buildroot/usr/share/doc/python3-module-vdsm-api-4.50.6 + exit 0 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.M9gcpj find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.3x9V5r find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm Provides: vdsm-api = 4.50.6-alt1.1, python3(vdsm.api), python3(vdsm.api.schema_inconsistency_formatter), python3(vdsm.api.vdsmapi) Requires: /usr/lib/python3/site-packages, python3(__future__) < 0, python3(enum) < 0, python3(inspect) < 0, python3(io) < 0, python3(json) < 0, python3(logging) < 0, python3(os) < 0, python3(pickle) < 0, python3(six) < 0, python3(vdsm) < 0, python3(vdsm.common) < 0, python3(vdsm.common.logutils) < 0, python3(yajsonrpc.exception) < 0 Processing files: python3-module-vdsm-rpc-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.gC9ktg find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.mSkbkC find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/Bridge.py: "vdsm.gluster.apiwrapper" lines:[[22]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/rpc/Bridge.py: "vdsm.gluster" lines:[[23]]: Ignore for REQ:slight Provides: vdsm-jsonrpc = 4.50.6-alt1.1, python3(vdsm.rpc), python3(vdsm.rpc.Bridge), python3(vdsm.rpc.bindingjsonrpc) Requires: python3-module-vdsm = 4.50.6-alt1.1, python3-module-vdsm-api = 4.50.6-alt1.1, python3-module-yajsonrpc = 4.50.6-alt1.1, /usr/lib/python3/site-packages, python3(__future__) < 0, python3(functools) < 0, python3(logging) < 0, python3(threading) < 0, python3(types) < 0, python3(vdsm) < 0, python3(vdsm.api) < 0, python3(vdsm.common) < 0, python3(vdsm.config) < 0, python3(vdsm.network.netinfo.addresses) < 0, python3(yajsonrpc) < 0, python3(yajsonrpc.stompserver) < 0 Obsoletes: vdsm-api < 4.16 Processing files: python3-module-yajsonrpc-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.2hgpIA find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.MYrGPn find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:yajsonrpc py3prov: detected potential module:yajsonrpc py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/__init__.py: "yajsonrpc" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/betterAsyncore.py: skipping "errno" lines:[12] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/jsonrpcclient.py: "yajsonrpc" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py: "yajsonrpc.stomp" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py: "yajsonrpc.jsonrpcclient" lines:[31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py: "yajsonrpc" lines:[32] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompclient.py: "yajsonrpc.betterAsyncore" lines:[33] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompserver.py: "yajsonrpc" lines:[12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/yajsonrpc/stompserver.py: "yajsonrpc.betterAsyncore" lines:[14] is possibly a self-providing dependency, skip it Provides: vdsm-yajsonrpc = 4.50.6-alt1.1, python3(yajsonrpc), python3(yajsonrpc.betterAsyncore), python3(yajsonrpc.exception), python3(yajsonrpc.jsonrpcclient), python3(yajsonrpc.stomp), python3(yajsonrpc.stompclient), python3(yajsonrpc.stompserver) Requires: /usr/lib/python3/site-packages, python3(__future__) < 0, python3(asyncore) < 0, python3(collections) < 0, python3(functools) < 0, python3(json) < 0, python3(logging) < 0, python3(re) < 0, python3(six) < 0, python3(six.moves) < 0, python3(socket) < 0, python3(ssl) < 0, python3(threading) < 0, python3(uuid) < 0, python3(vdsm) < 0, python3(vdsm.common) < 0, python3(vdsm.common.eventfd) < 0, python3(vdsm.common.logutils) < 0, python3(vdsm.common.password) < 0, python3(vdsm.common.threadlocal) < 0, python3(vdsm.common.time) < 0, python3(vdsm.config) < 0, python3(vdsm.sslutils) < 0 Processing files: python3-module-vdsm-common-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.DdgYSB find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.vGEOto find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/api.py: "vdsm.common.threadlocal" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/api.py: "vdsm.common" lines:[15, 16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py: skipping "time" lines:[15] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py: "vdsm.common" lines:[17, 18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py: "vdsm.common.config" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py: "vdsm.common.password" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cmdutils.py: "vdsm.common.time" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/commands.py: "vdsm.common" lines:[11, 12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/commands.py: "vdsm.common.cmdutils" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/commands.py: "vdsm.common.marks" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/concurrent.py: skipping "itertools" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/concurrent.py: skipping "sys" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/concurrent.py: "vdsm.common" lines:[18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/cpuarch.py: "vdsm.common.config" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/define.py: "vdsm.common" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/dsaversion.py: "vdsm.common" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/fileutils.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/function.py: skipping "time" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/function.py: "vdsm.common.time" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py: skipping "itertools" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py: skipping "sys" lines:[17] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py: "vdsm.common" lines:[22, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hooks.py: "vdsm.common.constants" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostdev.py: "vdsm.common" lines:[21, 22, 23, 24, 25, 26, 27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/hostdev.py: "vdsm.common.cache" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/libvirtconnection.py: skipping "atexit" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/libvirtconnection.py: "vdsm.common" lines:[16, 17, 18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/libvirtconnection.py: "vdsm.common.password" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/lockfile.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/lockfile.py: "vdsm.common" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py: skipping "itertools" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py: skipping "pwd" lines:[15] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py: skipping "time" lines:[17] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/logutils.py: "vdsm.common" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/osutils.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/osutils.py: "vdsm.common" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/panic.py: skipping "sys" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/pki.py: "vdsm.common" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/properties.py: "vdsm.common.password" lines:[74] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/response.py: "vdsm.common.define" lines:[6, 7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/sigutils.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/sigutils.py: "vdsm.common" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/supervdsm.py: "vdsm.common" lines:[12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/supervdsm.py: "vdsm.common.panic" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemctl.py: "vdsm.common" lines:[13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/systemd.py: "vdsm.common" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/time.py: skipping "time" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/udevadm.py: "vdsm.common" lines:[8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/common/validate.py: "vdsm.common" lines:[7] is possibly a self-providing dependency, skip it Provides: vdsm-common = 4.50.6-alt1.1, python3(vdsm.common), python3(vdsm.common.api), python3(vdsm.common.base26), python3(vdsm.common.cache), python3(vdsm.common.cmdutils), python3(vdsm.common.commands), python3(vdsm.common.concurrent), python3(vdsm.common.config), python3(vdsm.common.constants), python3(vdsm.common.conv), python3(vdsm.common.cpuarch), python3(vdsm.common.define), python3(vdsm.common.dsaversion), python3(vdsm.common.errors), python3(vdsm.common.eventfd), python3(vdsm.common.exception), python3(vdsm.common.filecontrol), python3(vdsm.common.fileutils), python3(vdsm.common.function), python3(vdsm.common.hooks), python3(vdsm.common.hostdev), python3(vdsm.common.hostutils), python3(vdsm.common.libvirtconnection), python3(vdsm.common.lockfile), python3(vdsm.common.logutils), python3(vdsm.common.marks), python3(vdsm.common.nbdutils), python3(vdsm.common.network), python3(vdsm.common.network.address), python3(vdsm.common.osutils), python3(vdsm.common.panic), python3(vdsm.common.password), python3(vdsm.common.pki), python3(vdsm.common.proc), python3(vdsm.common.properties), python3(vdsm.common.pthread), python3(vdsm.common.response), python3(vdsm.common.sigutils), python3(vdsm.common.supervdsm), python3(vdsm.common.systemctl), python3(vdsm.common.systemd), python3(vdsm.common.threadlocal), python3(vdsm.common.time), python3(vdsm.common.udevadm), python3(vdsm.common.units), python3(vdsm.common.unixrpc), python3(vdsm.common.validate), python3(vdsm.common.xmlutils) Requires: python3-module-dbus, python3-module-dateutil, python3-module-six >= 1.9.0, python3-module-decorator, python3-module-libvirt >= 3.7.0, /usr/lib/python3/site-packages, python3(__future__) < 0, python3(base64) < 0, python3(collections) < 0, python3(contextlib) < 0, python3(copy) < 0, python3(ctypes) < 0, python3(datetime) < 0, python3(dateutil) < 0, python3(dbus) < 0, python3(decorator) < 0, python3(fcntl) < 0, python3(functools) < 0, python3(glob) < 0, python3(grp) < 0, python3(hashlib) < 0, python3(inspect) < 0, python3(io) < 0, python3(json) < 0, python3(libvirt) < 0, python3(logging) < 0, python3(logging.handlers) < 0, python3(multiprocessing.managers) < 0, python3(operator) < 0, python3(os) < 0, python3(os.path) < 0, python3(pkgutil) < 0, python3(platform) < 0, python3(re) < 0, python3(select) < 0, python3(shutil) < 0, python3(signal) < 0, python3(six) < 0, python3(six.moves) < 0, python3(socket) < 0, python3(stat) < 0, python3(subprocess) < 0, python3(tempfile) < 0, python3(textwrap) < 0, python3(threading) < 0, python3(traceback) < 0, python3(uuid) < 0, python3(vdsm) < 0, python3(weakref) < 0, python3(xml.etree.ElementTree) < 0 Processing files: python3-module-vdsm-network-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.kspqVa find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.D4ltoO find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: skipping "sys" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network" lines:[16, 17, 18, 19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network.dhcp_monitor" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network.ipwrapper" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network.link" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network.lldp" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network.nmstate" lines:[25, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network" lines:[30, 32] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/api.py: "vdsm.network.errors" lines:[31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/bond_monitor.py: "vdsm.network.netlink" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network.ip.address" lines:[14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network.link" lines:[16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network.netconfpersistence" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network.netinfo" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network.errors" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/canonicalize.py: "vdsm.network" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/cmd.py: "vdsm.network.common" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/__init__.py: "vdsm.network.configurators" lines:[4] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py: "vdsm.network" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py: "vdsm.network.netinfo" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/configurators/qos.py: "vdsm.network.netinfo.cache" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py: skipping "time" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py: "vdsm.network" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/connectivity.py: "vdsm.network.errors" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dhcp_monitor.py: "vdsm.network.netlink" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/dhcp_monitor.py: "vdsm.network.ip.address" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ethtool.py: "vdsm.network.common" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/initializer.py: "vdsm.network" lines:[11, 12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/address.py: skipping "sys" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/address.py: "vdsm.network" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/validator.py: "vdsm.network" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ip/validator.py: "vdsm.network.ip.address" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ipwrapper.py: skipping "errno" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ipwrapper.py: "vdsm.network" lines:[19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ipwrapper.py: "vdsm.network.netlink" lines:[21, 22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/kernelconfig.py: "vdsm.network.link.bond" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/kernelconfig.py: "vdsm.network.netinfo" lines:[11, 12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/kernelconfig.py: "vdsm.network.netconfpersistence" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py: "vdsm.network" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py: "vdsm.network.link.iface" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py: "vdsm.network.netlink" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/__init__.py: "vdsm.network.link.bond.bond_speed" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/bond_speed.py: "vdsm.network.link" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/bond_speed.py: "vdsm.network.link.bond.sysfs_options" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_driver.py: "vdsm.network.link.iface" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_driver.py: "vdsm.network.link.bond" lines:[13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py: skipping "time" lines:[14] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py: "vdsm.network.link.bond" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py: "vdsm.network.link.bond.sysfs_driver" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bond/sysfs_options_mapper.py: "vdsm.network.link.iface" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/bridge.py: "vdsm.network.errors" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py: "vdsm.network" lines:[16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py: "vdsm.network.netlink" lines:[18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/iface.py: "vdsm.network.netlink.waitfor" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/nic.py: "vdsm.network.link.iface" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/setup.py: "vdsm.network.link.bridge" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/sriov.py: "vdsm.network" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/sriov.py: "vdsm.network.netlink" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/stats.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/stats.py: "vdsm.network.link" lines:[9, 10, 11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/validator.py: "vdsm.network" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/link/vlan.py: "vdsm.network.link" lines:[7, 8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/__init__.py: "vdsm.network" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/info.py: "vdsm.network" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/info.py: "vdsm.network.link.iface" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/lldpad.py: "vdsm.network.lldpad" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldp/lldpad.py: "vdsm.network.lldp" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/lldptool.py: "vdsm.network" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/lldpad/lldptool.py: "vdsm.network.lldp" lines:[12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netconfpersistence.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netconfpersistence.py: "vdsm.network.link.iface" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netconfpersistence.py: "vdsm.network" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/addresses.py: "vdsm.network.netlink" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/addresses.py: "vdsm.network.sysctl" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bonding.py: "vdsm.network.link.bond" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bonding.py: "vdsm.network.link.setup" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/bridges.py: "vdsm.network.link" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network" lines:[12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network.ip.address" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network.netconfpersistence" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network.netinfo" lines:[18, 19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network.netinfo.addresses" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network.netinfo.qos" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/cache.py: "vdsm.network.netinfo.routes" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/qos.py: "vdsm.network" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py: skipping "itertools" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py: "vdsm.network.ipwrapper" lines:[13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py: "vdsm.network.netlink" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netinfo/routes.py: "vdsm.network.netlink.libnl" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/__init__.py: "vdsm.network.netlink" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/addr.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/addr.py: "vdsm.network.netlink" lines:[9, 10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/addr.py: "vdsm.network.netlink.link" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/libnl.py: "vdsm.network.common" lines:[38] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/link.py: skipping "errno" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/link.py: "vdsm.network.netlink" lines:[11, 12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py: skipping "sys" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py: "vdsm.network.netlink" lines:[20, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py: "vdsm.network.netlink.addr" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py: "vdsm.network.netlink.link" lines:[28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/monitor.py: "vdsm.network.netlink.route" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/route.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/route.py: "vdsm.network.netlink" lines:[10, 11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/route.py: "vdsm.network.netlink.link" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/waitfor.py: "vdsm.network.netlink" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netlink/waitfor.py: "vdsm.network.netlink.link" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netstats.py: skipping "time" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netstats.py: "vdsm.network.link" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/__init__.py: "vdsm.network.netswitch" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network" lines:[12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.common" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.configurators" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.dhcp_monitor" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.link" lines:[18, 19, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.link.iface" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.netconfpersistence" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.netlink" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.netinfo" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.netinfo.cache" lines:[25, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/configurator.py: "vdsm.network.netswitch" lines:[28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py: "vdsm.network" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py: "vdsm.network.kernelconfig" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py: "vdsm.network.link.bond" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py: "vdsm.network.netconfpersistence" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/netswitch/validator.py: "vdsm.network.netinfo.cache" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__init__.py: "vdsm.network.nmstate.api" lines:[5, 6, 7, 8, 9, 10, 11, 12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/__init__.py: "vdsm.network.nmstate.schema" lines:[16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.common.switch_util" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.netconfpersistence" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.bond" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.bridge_util" lines:[13, 14, 15, 16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.linux_bridge" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.ovs.info" lines:[18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.ovs.network" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.route" lines:[21, 22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.schema" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.sriov" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/api.py: "vdsm.network.nmstate.state" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bond.py: "vdsm.network.link.bond.sysfs_options" lines:[4] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bond.py: "vdsm.network.link.setup" lines:[5] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bond.py: "vdsm.network.nmstate.schema" lines:[7, 8, 9, 10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bridge_util.py: "vdsm.network.common.switch_util" lines:[4] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bridge_util.py: "vdsm.network.link.iface" lines:[5] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/bridge_util.py: "vdsm.network.nmstate.schema" lines:[7, 8, 9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ip.py: "vdsm.network.nmstate.route" lines:[4, 5] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ip.py: "vdsm.network.nmstate.schema" lines:[6, 7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py: "vdsm.network.nmstate.bridge_util" lines:[6, 7, 8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py: "vdsm.network.nmstate.dns" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py: "vdsm.network.nmstate.ip" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py: "vdsm.network.nmstate.route" lines:[12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py: "vdsm.network.nmstate.schema" lines:[14, 15, 16, 17, 18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/linux_bridge.py: "vdsm.network.nmstate.state" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py: "vdsm.network.common.switch_util" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py: "vdsm.network.nmstate.bridge_util" lines:[9, 10, 11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py: "vdsm.network.nmstate.route" lines:[13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/info.py: "vdsm.network.nmstate.schema" lines:[16, 17, 18, 19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.ovs.info" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.bridge_util" lines:[7, 8, 9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.dns" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.ip" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.route" lines:[13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.schema" lines:[15, 16, 17, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/ovs/network.py: "vdsm.network.nmstate.state" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/route.py: "vdsm.network.nmstate.schema" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/route.py: "vdsm.network.nmstate.state" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/sriov.py: "vdsm.network.nmstate.schema" lines:[4, 5, 6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/state.py: skipping "itertools" lines:[5] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/state.py: "vdsm.network.nmstate.bridge_util" lines:[7, 8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/nmstate/state.py: "vdsm.network.nmstate.schema" lines:[10, 11, 12, 13, 14, 15, 16, 17, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ovn.py: skipping "pwd" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/ovn.py: "vdsm.network" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py: "vdsm.network" lines:[13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py: "vdsm.network.link" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py: "vdsm.network.netinfo.cache" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py: "vdsm.network.netconfpersistence" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/restore_net_config.py: "vdsm.network.api" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/sysctl.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py: "vdsm.network" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py: "vdsm.network.tc" lines:[12, 13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/__init__.py: "vdsm.network.tc._wrapper" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_wrapper.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/_wrapper.py: "vdsm.network" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/cls.py: "vdsm.network.tc" lines:[12, 13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/filter.py: "vdsm.network.tc" lines:[8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/tc/qdisc.py: "vdsm.network.tc" lines:[8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/validator.py: "vdsm.network.ip" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/validator.py: "vdsm.network.link" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/network/validator.py: "vdsm.network" lines:[9] is possibly a self-providing dependency, skip it Provides: vdsm-network = 4.50.6-alt1.1, python3(vdsm.network), python3(vdsm.network.api), python3(vdsm.network.bond_monitor), python3(vdsm.network.canonicalize), python3(vdsm.network.cmd), python3(vdsm.network.common), python3(vdsm.network.common.conversion_util), python3(vdsm.network.common.switch_util), python3(vdsm.network.configurators), python3(vdsm.network.configurators.qos), python3(vdsm.network.connectivity), python3(vdsm.network.dhcp_monitor), python3(vdsm.network.dns), python3(vdsm.network.driverloader), python3(vdsm.network.errors), python3(vdsm.network.ethtool), python3(vdsm.network.initializer), python3(vdsm.network.ip), python3(vdsm.network.ip.address), python3(vdsm.network.ip.validator), python3(vdsm.network.ipwrapper), python3(vdsm.network.kernelconfig), python3(vdsm.network.link), python3(vdsm.network.link.bond), python3(vdsm.network.link.bond.bond_speed), python3(vdsm.network.link.bond.sysfs_driver), python3(vdsm.network.link.bond.sysfs_options), python3(vdsm.network.link.bond.sysfs_options_mapper), python3(vdsm.network.link.bridge), python3(vdsm.network.link.iface), python3(vdsm.network.link.nic), python3(vdsm.network.link.setup), python3(vdsm.network.link.sriov), python3(vdsm.network.link.stats), python3(vdsm.network.link.validator), python3(vdsm.network.link.vlan), python3(vdsm.network.lldp), python3(vdsm.network.lldp.info), python3(vdsm.network.lldp.lldpad), python3(vdsm.network.lldpad), python3(vdsm.network.lldpad.lldptool), python3(vdsm.network.netconfpersistence), python3(vdsm.network.netinfo), python3(vdsm.network.netinfo.addresses), python3(vdsm.network.netinfo.bonding), python3(vdsm.network.netinfo.bridges), python3(vdsm.network.netinfo.cache), python3(vdsm.network.netinfo.nics), python3(vdsm.network.netinfo.qos), python3(vdsm.network.netinfo.routes), python3(vdsm.network.netlink), python3(vdsm.network.netlink.addr), python3(vdsm.network.netlink.libnl), python3(vdsm.network.netlink.link), python3(vdsm.network.netlink.monitor), python3(vdsm.network.netlink.route), python3(vdsm.network.netlink.waitfor), python3(vdsm.network.netstats), python3(vdsm.network.netswitch), python3(vdsm.network.netswitch.configurator), python3(vdsm.network.netswitch.validator), python3(vdsm.network.nmstate), python3(vdsm.network.nmstate.api), python3(vdsm.network.nmstate.bond), python3(vdsm.network.nmstate.bridge_util), python3(vdsm.network.nmstate.dns), python3(vdsm.network.nmstate.ip), python3(vdsm.network.nmstate.linux_bridge), python3(vdsm.network.nmstate.ovs), python3(vdsm.network.nmstate.ovs.info), python3(vdsm.network.nmstate.ovs.network), python3(vdsm.network.nmstate.route), python3(vdsm.network.nmstate.schema), python3(vdsm.network.nmstate.sriov), python3(vdsm.network.nmstate.state), python3(vdsm.network.ovn), python3(vdsm.network.restore_net_config), python3(vdsm.network.sysctl), python3(vdsm.network.tc), python3(vdsm.network.tc._parser), python3(vdsm.network.tc._wrapper), python3(vdsm.network.tc.cls), python3(vdsm.network.tc.filter), python3(vdsm.network.tc.qdisc), python3(vdsm.network.validator) Requires: NetworkManager-config-server, NetworkManager-ovs, ethtool, iproute2, openvswitch >= 2.15, python3-module-openvswitch >= 2.15, nmstate >= 1.2.1, lldpad, python3-module-vdsm-common = 4.50.6-alt1.1, python3-module-cryptography, /usr/lib/python3/site-packages, python3(__future__) < 0, python3(abc) < 0, python3(array) < 0, python3(collections) < 0, python3(contextlib) < 0, python3(copy) < 0, python3(cryptography) < 0, python3(cryptography.x509.oid) < 0, python3(ctypes) < 0, python3(fcntl) < 0, python3(fnmatch) < 0, python3(functools) < 0, python3(glob) < 0, python3(importlib) < 0, python3(io) < 0, python3(ipaddress) < 0, python3(json) < 0, python3(libnmstate) < 0, python3(libnmstate.schema) < 0, python3(logging) < 0, python3(os) < 0, python3(pkgutil) < 0, python3(random) < 0, python3(re) < 0, python3(select) < 0, python3(shutil) < 0, python3(six) < 0, python3(six.moves) < 0, python3(socket) < 0, python3(string) < 0, python3(struct) < 0, python3(subprocess) < 0, python3(threading) < 0, python3(vdsm.common) < 0, python3(vdsm.common.cache) < 0, python3(vdsm.common.cmdutils) < 0, python3(vdsm.common.config) < 0, python3(vdsm.common.constants) < 0, python3(vdsm.common.conv) < 0, python3(vdsm.common.osutils) < 0, python3(vdsm.common.time) < 0, python3(vdsm.common.units) < 0, python3(zlib) < 0 Processing files: python3-module-vdsm-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.L4FfK2 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.UcoQtR find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm py3req:/usr/src/tmp/vdsm-buildroot/usr/bin/vdsm-tool: skipping "sys" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/bin/vdsm-tool: "vdsm.tool" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm" lines:[18, 19, 20, 21, 22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.clientIF" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.host" lines:[35, 36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.storage.sd" lines:[38] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.storage" lines:[39, 40, 41] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.virt" lines:[42, 43, 46] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.config" lines:[45] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.virt.domain_descriptor" lines:[47] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.virt.jobs" lines:[48, 49] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.virt.vm" lines:[50] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.virt.vmdevices" lines:[51, 52] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "ovirt_hosted_engine_ha.client.client" lines:[[57]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/API.py: "vdsm.gluster.fence" lines:[[62]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/alignmentScan.py: "vdsm.storage.misc" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: skipping "time" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm" lines:[24, 41, 42] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.config" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.protocoldetector" lines:[30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.momIF" lines:[31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.virt" lines:[32, 33, 34, 35, 36, 48] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.virt.vmchannels" lines:[37] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.virt.vmdevices.storage" lines:[38] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.virt.utils" lines:[39] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.virt.qemuguestagent" lines:[49] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.virt.vm" lines:[50] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.gluster.api" lines:[[53]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.rpc.http" lines:[[[[[287, 288]]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.rpc" lines:[[[[[301]]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "vdsm.rpc.bindingjsonrpc" lines:[[[[[302]]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/clientIF.py: "yajsonrpc.stompserver" lines:[[[[[303]]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/dmidecodeUtil.py: "dmidecode" lines:[[28]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py: skipping "gc" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py: "vdsm.storage" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py: "vdsm.config" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/health.py: "vdsm" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hook/hooking.py: skipping "sys" lines:[27] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/__init__.py: "vdsm" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py: skipping "time" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py: "vdsm" lines:[11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py: "vdsm.virt" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py: "vdsm.host" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/api.py: "ovirt_hosted_engine_ha.client.client" lines:[[19]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py: "vdsm" lines:[13, 14, 15, 16, 17, 18, 19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py: "vdsm.config" lines:[30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py: "vdsm.host" lines:[31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py: "vdsm.storage" lines:[32, 33, 34, 35, 36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py: "ovirt_hosted_engine_ha.client.client" lines:[[39]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/caps.py: "vdsm.gluster.api" lines:[[[143]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/stats.py: "vdsm" lines:[11, 16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/host/stats.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hugepages.py: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/hugepages.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jobs.py: "vdsm.config" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jsonrpcvdscli.py: "vdsm.config" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/jsonrpcvdscli.py: "vdsm" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/kvm2ovirt.py: skipping "sys" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/machinetype.py: skipping "itertools" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/__init__.py: "vdsm.config" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/hawkular.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/metrics/hawkular.py: "hawkular" lines:[[17]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py: skipping "errno" lines:[12] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py: "vdsm.constants" lines:[16, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py: "vdsm.storage" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/mkimage.py: "vdsm.storage.fileUtils" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/momIF.py: "vdsm.config" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/momIF.py: "vdsm" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/numa.py: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py: skipping "itertools" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py: "vdsm" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py: "apt" lines:[[25]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py: "rpm" lines:[[32]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/osinfo.py: "vdsm.gluster.api" lines:[[37, 38]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/ppc64HardwareInfo.py: "vdsm" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/cpu.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/cpu.py: "vdsm.profiling.errors" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/cpu.py: "yappi" lines:[[[41]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py: "vdsm.config" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py: "vdsm.profiling.errors" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py: "cherrypy" lines:[[65]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/memory.py: "dowser" lines:[[66]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/profiling/profile.py: "vdsm.profiling" lines:[10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/protocoldetector.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/protocoldetector.py: "vdsm.sslutils" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/schedule.py: skipping "time" lines:[48] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/sslutils.py: "vdsm.config" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/asyncevent.py: skipping "errno" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/backends.py: "vdsm.storage" lines:[10, 11, 12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/bitmaps.py: "vdsm.storage" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blkdiscard.py: "vdsm.storage" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: skipping "sys" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: skipping "time" lines:[15] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: "vdsm.config" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: "vdsm" lines:[30, 31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: "vdsm.storage" lines:[32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: "vdsm.storage.mailbox" lines:[50] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: "vdsm.storage.persistent" lines:[51] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockSD.py: "vdsm.storage.volumemetadata" lines:[52] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py: "vdsm" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py: "vdsm.storage" lines:[15, 16, 17, 18, 19, 20, 21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py: "vdsm.storage.sdc" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockVolume.py: "vdsm.storage.volumemetadata" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockdev.py: "vdsm" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/blockdev.py: "vdsm.storage" lines:[17, 18, 19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/check.py: "vdsm.storage" lines:[26, 27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py: skipping "time" lines:[14] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py: "vdsm" lines:[18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py: "vdsm.config" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/clusterlock.py: "vdsm.storage" lines:[26, 27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/constants.py: "vdsm" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/constants.py: "vdsm.storage" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/curlImgWrap.py: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/devicemapper.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/devicemapper.py: "vdsm.constants" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/devicemapper.py: "vdsm.storage" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dispatcher.py: "vdsm.storage" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/dmsetup.py: "vdsm.constants" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/exception.py: skipping "errno" lines:[19] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/exception.py: "vdsm.storage.securable" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fallocate.py: skipping "sys" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fallocate.py: "vdsm.storage" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py: "vdsm" lines:[18, 35] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py: "vdsm.storage" lines:[22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py: "vdsm.storage.persistent" lines:[33] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileSD.py: "vdsm.storage.constants" lines:[36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py: skipping "errno" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py: skipping "pwd" lines:[14] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py: skipping "sys" lines:[18] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py: skipping "time" lines:[20] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py: "vdsm" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileUtils.py: "vdsm.storage" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py: "vdsm" lines:[13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py: "vdsm.storage" lines:[20, 21, 22, 23, 24, 25, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py: "vdsm.storage.sdc" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fileVolume.py: "vdsm.storage.volumemetadata" lines:[28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/formatconverter.py: "vdsm.storage" lines:[25, 26, 27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fuser.py: "vdsm" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/fuser.py: "vdsm.storage" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glance.py: "vdsm" lines:[54] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glance.py: "vdsm.storage" lines:[58] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterSD.py: "vdsm.storage" lines:[10, 11, 12, 13, 14, 15, 16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py: "vdsm" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py: "vdsm.storage" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py: "vdsm.storage.sdc" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/glusterVolume.py: "vdsm.gluster.exception" lines:[[12]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/guarded.py: skipping "itertools" lines:[5] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/guarded.py: skipping "sys" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hba.py: "vdsm" lines:[15, 16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hba.py: "vdsm.config" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hba.py: "vdsm.storage" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: skipping "errno" lines:[16] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: skipping "time" lines:[17] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm" lines:[25, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm.config" lines:[36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm.storage" lines:[37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm.storage.constants" lines:[70] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm.storage.sdc" lines:[71] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm.storage.spbackends" lines:[72, 73, 74] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/hsm.py: "vdsm.storage.sdm.api" lines:[76] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py: "vdsm" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py: "vdsm.config" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py: "vdsm.storage" lines:[18, 19, 20, 21, 22, 23, 24, 25, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/image.py: "vdsm.storage.sdc" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imageSharing.py: "vdsm" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imageSharing.py: "vdsm.storage" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/imagetickets.py: "vdsm.storage" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py: skipping "errno" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py: "vdsm" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py: "vdsm.config" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/iscsi.py: "vdsm.storage" lines:[27, 28, 29, 30, 31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/localFsSD.py: "vdsm.storage" lines:[9, 10, 11, 12, 13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py: skipping "pwd" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py: skipping "itertools" lines:[22] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py: "vdsm" lines:[24, 25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py: "vdsm.storage" lines:[31, 32, 33, 34, 35, 36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvm.py: "vdsm.config" lines:[38] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmconf.py: "vdsm" lines:[62] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmdevices.py: "vdsm" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmdevices.py: "vdsm.storage" lines:[25, 26, 27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmfilter.py: skipping "itertools" lines:[25] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmfilter.py: "vdsm" lines:[33] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/lvmfilter.py: "vdsm.storage" lines:[36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: skipping "time" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: "vdsm.config" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: "vdsm.storage" lines:[22, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: "vdsm.storage.exception" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: "vdsm.storage.threadPool" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mailbox.py: "vdsm" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolume.py: skipping "sys" lines:[20] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolume.py: "vdsm.storage" lines:[35, 36, 37] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolume.py: "os_brick" lines:[[27]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/managedvolumedb.py: "vdsm.storage.constants" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/merge.py: "vdsm.storage" lines:[25, 26, 27, 28, 29, 30, 31, 32] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/merge.py: "vdsm.storage.sdc" lines:[33] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py: skipping "errno" lines:[15] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py: skipping "itertools" lines:[16] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py: "vdsm" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/misc.py: "vdsm.storage" lines:[34] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py: skipping "time" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py: "vdsm" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py: "vdsm.config" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py: "vdsm.storage" lines:[14, 15, 16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/monitor.py: "vdsm.storage.sdc" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py: "vdsm" lines:[14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py: "vdsm.config" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mount.py: "vdsm.storage" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathconf.py: "vdsm.storage" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathconf.py: "vdsm.storage" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/mpathhealth.py: "vdsm.storage" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py: skipping "errno" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py: skipping "time" lines:[15] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py: "vdsm" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py: "vdsm.config" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/multipath.py: "vdsm.storage" lines:[26, 27, 28, 29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py: skipping "errno" lines:[12] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py: skipping "time" lines:[16] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py: "vdsm.storage" lines:[27, 28, 29, 30, 31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nbd.py: "vdsm.storage.sdc" lines:[32] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/nfsSD.py: "vdsm.storage" lines:[8, 9, 10, 11, 12, 13, 14, 15, 16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/operation.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/operation.py: "vdsm" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py: "vdsm" lines:[20, 21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py: "vdsm.config" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/outOfProcess.py: "vdsm.storage" lines:[24, 25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/persistent.py: "vdsm.storage" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/qemuimg.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/qemuimg.py: "vdsm.storage" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py: "vdsm.config" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py: "vdsm.storage" lines:[9, 10, 11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py: "vdsm.storage.sdc" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceFactories.py: "vdsm.storage.image" lines:[[[96]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceManager.py: "vdsm" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/resourceManager.py: "vdsm.storage" lines:[18, 19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlock_direct.py: "vdsm.storage" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sanlockconf.py: "vdsm.storage" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py: skipping "time" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py: "vdsm" lines:[16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py: "vdsm.config" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py: "vdsm.storage" lines:[23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py: "vdsm.storage.sdc" lines:[39] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sd.py: "vdsm.storage.persistent" lines:[41] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdc.py: "vdsm" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdc.py: "vdsm.storage" lines:[14, 15, 16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdc.py: "vdsm.storage" lines:[[[142, 143, 144, 145], [172, 173]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/add_bitmap.py: "vdsm.storage" lines:[5, 6, 7, 8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/add_bitmap.py: "vdsm.storage.sdm.volume_info" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/add_bitmap.py: "vdsm.storage.sdm.api" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py: "vdsm.storage" lines:[7, 8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py: "vdsm.storage.sdc" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py: "vdsm.storage.sdm.volume_info" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/amend_volume.py: "vdsm.storage.sdm.api" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/base.py: "vdsm" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/clear_bitmaps.py: "vdsm.storage" lines:[4, 5, 6, 7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/clear_bitmaps.py: "vdsm.storage.sdm.volume_info" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/clear_bitmaps.py: "vdsm.storage.sdm.api" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py: skipping "time" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py: "vdsm" lines:[9, 10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py: "vdsm.storage" lines:[14, 15, 16, 17, 18, 19, 20, 21, 22, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py: "vdsm.storage.sdc" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/copy_data.py: "vdsm.storage.sdm.api" lines:[26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/merge.py: "vdsm.storage" lines:[16, 17, 18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/merge.py: "vdsm.storage.sdm.api" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py: "vdsm.storage" lines:[9, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py: "vdsm.storage.constants" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py: "vdsm.storage.sdc" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/move_device.py: "vdsm.storage.sdm.api" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py: "vdsm.storage" lines:[7, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py: "vdsm.storage.constants" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py: "vdsm.storage.sdc" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/reduce_domain.py: "vdsm.storage.sdm.api" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/remove_bitmap.py: "vdsm.storage" lines:[5, 6, 7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/remove_bitmap.py: "vdsm.storage.sdm.volume_info" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/remove_bitmap.py: "vdsm.storage.sdm.api" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py: "vdsm" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py: "vdsm.storage" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py: "vdsm.storage.sdm.volume_info" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/sparsify_volume.py: "vdsm.storage.sdm.api" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/update_volume.py: "vdsm.storage" lines:[6, 7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/update_volume.py: "vdsm.storage.sdm.api.copy_data" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/api/update_volume.py: "vdsm.storage.sdm.api" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/volume_info.py: "vdsm.storage" lines:[9, 10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sdm/volume_info.py: "vdsm.storage.sdc" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py: skipping "errno" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py: "vdsm.storage" lines:[21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py: "vdsm.storage.formatconverter" lines:[36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py: "vdsm.storage.sdc" lines:[37] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sp.py: "vdsm.storage.securable" lines:[38] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py: "vdsm.storage" lines:[12, 13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py: "vdsm.storage.persistent" lines:[16, 17, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py: "vdsm.storage.securable" lines:[19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py: "vdsm.storage.sp" lines:[21, 22, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spbackends.py: "vdsm.config" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/spwd.py: "vdsm.storage" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py: skipping "sys" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py: "vdsm.config" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py: "vdsm" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py: "vdsm.storage" lines:[23, 24, 25, 26, 27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/storageServer.py: "vdsm.storage.mount" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/sysfs.py: skipping "errno" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/task.py: "vdsm.config" lines:[47] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/task.py: "vdsm.storage" lines:[48, 49, 50, 51] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py: "vdsm.config" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py: "vdsm.storage" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py: "vdsm.storage.task" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/taskManager.py: "vdsm.storage.threadPool" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/transientdisk.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/transientdisk.py: "vdsm.storage" lines:[13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/utils.py: "vdsm.storage" lines:[4] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/validators.py: "vdsm.storage" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py: "vdsm" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py: "vdsm.storage" lines:[16, 17, 18, 19, 20, 21, 22, 23, 24, 25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py: "vdsm.storage.sdc" lines:[26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volume.py: "vdsm.storage.volumemetadata" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volumemetadata.py: skipping "time" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/volumemetadata.py: "vdsm.storage" lines:[11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/workarounds.py: "vdsm.storage" lines:[8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/xlease.py: skipping "time" lines:[125] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/xlease.py: "vdsm" lines:[133] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/storage/xlease.py: "vdsm.storage" lines:[139, 140, 141] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/devicemapper.py: "vdsm.storage" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/devicemapper.py: "vdsm.supervdsm_api" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/dmsetup.py: "vdsm.storage" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/dmsetup.py: "vdsm.supervdsm_api" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/hwinfo.py: "vdsm.supervdsm_api" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/hwinfo.py: "vdsm.dmidecodeUtil" lines:[[[21]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/hwinfo.py: "vdsm.ppc64HardwareInfo" lines:[[[[24]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/ksm.py: "vdsm.supervdsm_api" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/lsof.py: "vdsm.storage" lines:[4] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/lsof.py: "vdsm.supervdsm_api" lines:[5] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/managedvolume.py: "vdsm.storage" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/managedvolume.py: "vdsm.supervdsm_api" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/mkimage.py: "vdsm.mkimage" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/mkimage.py: "vdsm.supervdsm_api" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/multipath.py: "vdsm.storage" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/multipath.py: "vdsm.supervdsm_api" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/nbd.py: "vdsm.storage" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/nbd.py: "vdsm.supervdsm_api" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/network.py: "vdsm.supervdsm_api" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/sanlock_direct.py: "vdsm.storage" lines:[4, 5] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/sanlock_direct.py: "vdsm.supervdsm_api" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/saslpasswd2.py: "vdsm.virt" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/saslpasswd2.py: "vdsm.supervdsm_api" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/systemctl.py: "vdsm.supervdsm_api" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/test.py: "vdsm.supervdsm_api" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/udev.py: skipping "errno" lines:[17] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/udev.py: "vdsm.constants" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/udev.py: "vdsm.supervdsm_api" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py: "vdsm.constants" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py: "vdsm.storage.fileUtils" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py: "vdsm.virt" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_api/virt.py: "vdsm.supervdsm_api" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: skipping "atexit" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: skipping "sys" lines:[16] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: "vdsm" lines:[39] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: "vdsm.storage" lines:[40, 41, 42, 43, 44] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: "vdsm.storage.fileUtils" lines:[45, 46] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: "vdsm.storage.iscsi" lines:[47, 48] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: "vdsm.config" lines:[53] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/supervdsm_server.py: "vdsm.gluster" lines:[[34]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/taskset.py: "vdsm" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/check_volume_leases.py: "vdsm" lines:[13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/check_volume_leases.py: "vdsm.config" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/check_volume_leases.py: "vdsm.tool" lines:[16, 17, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/config_lvm_filter.py: "vdsm.storage" lines:[12, 13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/config_lvm_filter.py: "vdsm.tool" lines:[17, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configfile.py: "vdsm" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurator.py: skipping "sys" lines:[19] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurator.py: "vdsm" lines:[30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurator.py: "vdsm.tool" lines:[24, 29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/__init__.py: "vdsm.tool" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/bond_defaults.py: "vdsm" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/bond_defaults.py: "vdsm.tool.configurators" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/certificates.py: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/certificates.py: "vdsm.config" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/certificates.py: "vdsm.tool.configurators" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py: skipping "sys" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py: "vdsm.config" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py: "vdsm" lines:[17, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py: "vdsm.tool" lines:[23, 24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py: "vdsm.tool.configfile" lines:[25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/libvirt.py: "vdsm.tool.configurators" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py: skipping "errno" lines:[4] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py: skipping "sys" lines:[5] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py: "vdsm.storage" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py: "vdsm.tool" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/lvm.py: "vdsm.tool.configurators" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py: skipping "sys" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py: "vdsm" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py: "vdsm.storage" lines:[14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/managedvolumedb.py: "vdsm.tool.configurators" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py: skipping "sys" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py: "vdsm.storage" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py: "vdsm.tool" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/multipath.py: "vdsm.tool.configurators" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/passwd.py: "vdsm.tool.configurators" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py: skipping "sys" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py: "vdsm" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py: "vdsm.storage" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sanlock.py: "vdsm.tool.configurators" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py: skipping "sys" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py: "vdsm.tool.configurators" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/configurators/sebool.py: "seobject" lines:[[30], [[56]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confutils.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/confutils.py: "vdsm.tool.configfile" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dummybr.py: "vdsm.tool" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py: skipping "sys" lines:[12] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py: "vdsm" lines:[16, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py: "vdsm.config" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/dump_volume_chains.py: "vdsm.tool" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/network.py: "vdsm.tool" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/nwfilter.py: "vdsm.tool" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py: skipping "pwd" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py: skipping "sys" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py: "vdsm" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/register.py: "vdsm.tool" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/service.py: skipping "sys" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/service.py: "vdsm.tool" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py: skipping "errno" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py: skipping "pwd" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py: "vdsm" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py: "vdsm.config" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/transient.py: "vdsm.tool" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/upgrade.py: "vdsm.constants" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm-id.py: skipping "sys" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm-id.py: "vdsm" lines:[6] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm-id.py: "vdsm.tool" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/vdsm_config.py: "vdsm.tool" lines:[5] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/xleases.py: "vdsm" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/xleases.py: "vdsm.storage" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/tool/xleases.py: "vdsm.tool" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/utils.py: skipping "errno" lines:[16] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/utils.py: skipping "sys" lines:[21] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/utils.py: skipping "time" lines:[25] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py: skipping "errno" lines:[17] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py: skipping "time" lines:[24] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py: "vdsm.constants" lines:[43] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py: "vdsm.utils" lines:[44] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/v2v.py: "ovirt_imageio" lines:[[47]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: skipping "atexit" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: skipping "pwd" lines:[12] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm" lines:[21, 22, 23, 24, 25, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm.config" lines:[37] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm.profiling" lines:[40] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm.storage.hsm" lines:[41] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm.storage.dispatcher" lines:[42] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm.virt" lines:[43] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "vdsm.clientIF" lines:[[[95]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/vdsmd.py: "manhole" lines:[[175]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/backup.py: "vdsm.virt" lines:[16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/backup.py: "vdsm.virt.vmdevices" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/backup.py: "vdsm.virt.vmdevices.storage" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/cpumanagement.py: "vdsm" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/cpumanagement.py: "vdsm.virt" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/displaynetwork.py: "vdsm.virt" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domain_descriptor.py: "vdsm" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domain_descriptor.py: "vdsm.virt" lines:[13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domxml_preprocess.py: "vdsm" lines:[73, 74] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/domxml_preprocess.py: "vdsm.virt" lines:[79, 80, 81, 82, 83] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/externaldata.py: "vdsm.virt" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/filedata.py: skipping "pwd" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/filedata.py: skipping "time" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/filedata.py: "vdsm" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py: skipping "time" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py: skipping "errno" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py: "vdsm" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/guestagent.py: "vdsm.virt" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/__init__.py: "vdsm" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/seal.py: "vdsm" lines:[31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/seal.py: "vdsm.virt.utils" lines:[33] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/seal.py: "vdsm.virt.jobs" lines:[34] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: skipping "time" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: "vdsm" lines:[19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: "vdsm.config" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: "vdsm.storage" lines:[26, 27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: "vdsm.virt" lines:[29, 30, 31, 32] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: "vdsm.virt.vmdevices" lines:[33, 34] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/jobs/snapshot.py: "vdsm.virt.jobs" lines:[35] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/libvirtxml.py: "vdsm.virt" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/livemerge.py: skipping "time" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/livemerge.py: "vdsm.virt" lines:[18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/livemerge.py: "vdsm.virt.vmdevices.storage" lines:[20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/metadata.py: "vdsm.virt" lines:[49, 50] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/metadata.py: "vdsm" lines:[51] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py: skipping "time" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py: "vdsm" lines:[21, 22, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py: "vdsm.config" lines:[24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py: "vdsm.virt.utils" lines:[29, 30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py: "vdsm.virt" lines:[32, 33, 34, 35, 36] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/migration.py: "vdsm.config" lines:[[[1040]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py: "vdsm" lines:[17, 18, 19] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py: "vdsm.config" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py: "vdsm.virt" lines:[24, 25, 26, 27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py: "vdsm.virt.externaldata" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/periodic.py: "vdsm.virt.utils" lines:[30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py: skipping "time" lines:[19] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py: "vdsm" lines:[21, 22, 23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py: "vdsm.config" lines:[26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/qemuguestagent.py: "vdsm.virt" lines:[27, 28, 29, 30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/recovery.py: "vdsm.virt" lines:[13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/recovery.py: "vdsm.virt.domain_descriptor" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py: skipping "time" lines:[20] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py: "vdsm" lines:[22, 23, 24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py: "vdsm.config" lines:[27] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py: "vdsm.constants" lines:[28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py: "vdsm.host" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/sampling.py: "vdsm.virt.utils" lines:[30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py: skipping "sys" lines:[5] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py: skipping "time" lines:[6] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py: "vdsm.virt" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/thinp.py: "vdsm.virt.vmdevices" lines:[17, 18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py: skipping "sys" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py: skipping "time" lines:[12] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py: "vdsm.config" lines:[30] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/utils.py: "vdsm.constants" lines:[31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: skipping "time" lines:[17] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm" lines:[40, 51, 52, 53, 54] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.jobs" lines:[49, 95] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.livemerge" lines:[50] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.config" lines:[55] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.storage" lines:[67, 68, 69] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt" lines:[71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 97] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.domain_descriptor" lines:[92, 93, 94] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.externaldata" lines:[96] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.vmdevices" lines:[98, 99, 100, 101] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.vmdevices.common" lines:[102, 103] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.vmdevices.storage" lines:[104] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.vmdevices.storagexml" lines:[105] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.vmpowerdown" lines:[106] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vm.py: "vdsm.virt.utils" lines:[107, 108, 109, 110, 111] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmchannels.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmchannels.py: skipping "time" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/__init__.py: "vdsm.virt.vmdevices" lines:[7, 8, 9, 10, 11, 12, 13, 14, 15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/common.py: "vdsm.virt" lines:[8, 9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/common.py: "vdsm.virt.vmdevices" lines:[11, 12, 13, 14, 15, 16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/compat.py: "vdsm" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py: "vdsm" lines:[11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py: "vdsm.virt" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py: "vdsm.virt.utils" lines:[16] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/core.py: "vdsm.virt.vmdevices" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/graphics.py: "vdsm.virt" lines:[9, 10, 11, 12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/graphics.py: "vdsm.virt.vmdevices" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hostdevice.py: "vdsm.virt" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/hostdevice.py: "vdsm.virt.vmdevices" lines:[14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lease.py: "vdsm.virt" lines:[92] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lease.py: "vdsm.virt.vmdevices" lines:[94, 95] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lookup.py: "vdsm.virt.vmdevices" lines:[9, 10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/lookup.py: "vdsm.virt" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/network.py: "vdsm.virt" lines:[16, 17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/network.py: "vdsm.virt.vmdevices" lines:[19, 20, 21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py: "vdsm.config" lines:[22] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py: "vdsm" lines:[23] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py: "vdsm.virt" lines:[24, 25] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storage.py: "vdsm.virt.vmdevices" lines:[27, 28, 29, 30, 31] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storagexml.py: "vdsm.virt" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storagexml.py: "vdsm" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmdevices/storagexml.py: "vdsm.virt.vmdevices" lines:[10, 11, 12, 13, 14] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmpowerdown.py: "vdsm" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstats.py: "vdsm.utils" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmstats.py: "vdsm.virt.utils" lines:[15] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmtune.py: skipping "itertools" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmtune.py: "vdsm" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmtune.py: "vdsm.virt" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virt/vmxml.py: "vdsm.virt" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsparsify.py: "vdsm.virt.utils" lines:[4] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/virtsysprep.py: "vdsm.virt.utils" lines:[4] is possibly a self-providing dependency, skip it Provides: vdsm-python = 4.50.6-alt1.1, python3(hooking), python3(vdsm), python3(vdsm.API), python3(vdsm.alignmentScan), python3(vdsm.client), python3(vdsm.clientIF), python3(vdsm.config), python3(vdsm.constants), python3(vdsm.cpuinfo), python3(vdsm.dmidecodeUtil), python3(vdsm.executor), python3(vdsm.health), python3(vdsm.hook), python3(vdsm.hook.hooking), python3(vdsm.host), python3(vdsm.host.api), python3(vdsm.host.caps), python3(vdsm.host.rngsources), python3(vdsm.host.stats), python3(vdsm.hugepages), python3(vdsm.jobs), python3(vdsm.jsonrpcvdscli), python3(vdsm.kvm2ovirt), python3(vdsm.logUtils), python3(vdsm.machinetype), python3(vdsm.metrics), python3(vdsm.metrics.hawkular), python3(vdsm.metrics.statsd), python3(vdsm.mkimage), python3(vdsm.moduleloader), python3(vdsm.momIF), python3(vdsm.numa), python3(vdsm.osinfo), python3(vdsm.ppc64HardwareInfo), python3(vdsm.profiling), python3(vdsm.profiling.cpu), python3(vdsm.profiling.errors), python3(vdsm.profiling.memory), python3(vdsm.profiling.profile), python3(vdsm.protocoldetector), python3(vdsm.schedule), python3(vdsm.sslutils), python3(vdsm.storage), python3(vdsm.storage.asyncevent), python3(vdsm.storage.asyncutils), python3(vdsm.storage.backends), python3(vdsm.storage.bitmaps), python3(vdsm.storage.blkdiscard), python3(vdsm.storage.blockSD), python3(vdsm.storage.blockVolume), python3(vdsm.storage.blockdev), python3(vdsm.storage.check), python3(vdsm.storage.clusterlock), python3(vdsm.storage.constants), python3(vdsm.storage.curlImgWrap), python3(vdsm.storage.devicemapper), python3(vdsm.storage.directio), python3(vdsm.storage.dispatcher), python3(vdsm.storage.dmsetup), python3(vdsm.storage.exception), python3(vdsm.storage.fallocate), python3(vdsm.storage.fileSD), python3(vdsm.storage.fileUtils), python3(vdsm.storage.fileVolume), python3(vdsm.storage.formatconverter), python3(vdsm.storage.fsutils), python3(vdsm.storage.fuser), python3(vdsm.storage.glance), python3(vdsm.storage.glusterSD), python3(vdsm.storage.glusterVolume), python3(vdsm.storage.guarded), python3(vdsm.storage.hba), python3(vdsm.storage.hsm), python3(vdsm.storage.image), python3(vdsm.storage.imageSharing), python3(vdsm.storage.imagetickets), python3(vdsm.storage.iscsi), python3(vdsm.storage.iscsiadm), python3(vdsm.storage.localFsSD), python3(vdsm.storage.lsof), python3(vdsm.storage.lvm), python3(vdsm.storage.lvmconf), python3(vdsm.storage.lvmdevices), python3(vdsm.storage.lvmfilter), python3(vdsm.storage.mailbox), python3(vdsm.storage.managedvolume), python3(vdsm.storage.managedvolumedb), python3(vdsm.storage.merge), python3(vdsm.storage.misc), python3(vdsm.storage.monitor), python3(vdsm.storage.mount), python3(vdsm.storage.mpathconf), python3(vdsm.storage.mpathhealth), python3(vdsm.storage.multipath), python3(vdsm.storage.nbd), python3(vdsm.storage.nfsSD), python3(vdsm.storage.nos_brick), python3(vdsm.storage.operation), python3(vdsm.storage.outOfProcess), python3(vdsm.storage.persistent), python3(vdsm.storage.qemuimg), python3(vdsm.storage.resourceFactories), python3(vdsm.storage.resourceManager), python3(vdsm.storage.rwlock), python3(vdsm.storage.sanlock_direct), python3(vdsm.storage.sanlockconf), python3(vdsm.storage.sd), python3(vdsm.storage.sdc), python3(vdsm.storage.sdm), python3(vdsm.storage.sdm.api), python3(vdsm.storage.sdm.api.add_bitmap), python3(vdsm.storage.sdm.api.amend_volume), python3(vdsm.storage.sdm.api.base), python3(vdsm.storage.sdm.api.clear_bitmaps), python3(vdsm.storage.sdm.api.copy_data), python3(vdsm.storage.sdm.api.merge), python3(vdsm.storage.sdm.api.move_device), python3(vdsm.storage.sdm.api.reduce_domain), python3(vdsm.storage.sdm.api.remove_bitmap), python3(vdsm.storage.sdm.api.sparsify_volume), python3(vdsm.storage.sdm.api.update_volume), python3(vdsm.storage.sdm.volume_info), python3(vdsm.storage.securable), python3(vdsm.storage.sp), python3(vdsm.storage.spbackends), python3(vdsm.storage.spwd), python3(vdsm.storage.storageServer), python3(vdsm.storage.sysfs), python3(vdsm.storage.task), python3(vdsm.storage.taskManager), python3(vdsm.storage.threadPool), python3(vdsm.storage.transientdisk), python3(vdsm.storage.utils), python3(vdsm.storage.validators), python3(vdsm.storage.volume), python3(vdsm.storage.volumemetadata), python3(vdsm.storage.workarounds), python3(vdsm.storage.xlease), python3(vdsm.supervdsm_api), python3(vdsm.supervdsm_api.devicemapper), python3(vdsm.supervdsm_api.dmsetup), python3(vdsm.supervdsm_api.hwinfo), python3(vdsm.supervdsm_api.ksm), python3(vdsm.supervdsm_api.lsof), python3(vdsm.supervdsm_api.managedvolume), python3(vdsm.supervdsm_api.mkimage), python3(vdsm.supervdsm_api.multipath), python3(vdsm.supervdsm_api.nbd), python3(vdsm.supervdsm_api.network), python3(vdsm.supervdsm_api.sanlock_direct), python3(vdsm.supervdsm_api.saslpasswd2), python3(vdsm.supervdsm_api.systemctl), python3(vdsm.supervdsm_api.test), python3(vdsm.supervdsm_api.udev), python3(vdsm.supervdsm_api.virt), python3(vdsm.supervdsm_server), python3(vdsm.taskset), python3(vdsm.throttledlog), python3(vdsm.tool), python3(vdsm.tool.check_volume_leases), python3(vdsm.tool.common), python3(vdsm.tool.config_lvm_filter), python3(vdsm.tool.configfile), python3(vdsm.tool.configurator), python3(vdsm.tool.configurators), python3(vdsm.tool.configurators.bond_defaults), python3(vdsm.tool.configurators.certificates), python3(vdsm.tool.configurators.libvirt), python3(vdsm.tool.configurators.lvm), python3(vdsm.tool.configurators.managedvolumedb), python3(vdsm.tool.configurators.multipath), python3(vdsm.tool.configurators.passwd), python3(vdsm.tool.configurators.sanlock), python3(vdsm.tool.configurators.sebool), python3(vdsm.tool.confmeta), python3(vdsm.tool.confutils), python3(vdsm.tool.dummybr), python3(vdsm.tool.dump_volume_chains), python3(vdsm.tool.network), python3(vdsm.tool.nwfilter), python3(vdsm.tool.register), python3(vdsm.tool.service), python3(vdsm.tool.transient), python3(vdsm.tool.upgrade), python3(vdsm.tool.vdsm_config), python3(vdsm.tool.xleases), python3(vdsm.utils), python3(vdsm.v2v), python3(vdsm.vdsmd), python3(vdsm.virt), python3(vdsm.virt.backup), python3(vdsm.virt.blockjob), python3(vdsm.virt.cpumanagement), python3(vdsm.virt.displaynetwork), python3(vdsm.virt.domain_descriptor), python3(vdsm.virt.domxml_preprocess), python3(vdsm.virt.errors), python3(vdsm.virt.events), python3(vdsm.virt.externaldata), python3(vdsm.virt.filedata), python3(vdsm.virt.guestagent), python3(vdsm.virt.guestagenthelpers), python3(vdsm.virt.jobs), python3(vdsm.virt.jobs.seal), python3(vdsm.virt.jobs.snapshot), python3(vdsm.virt.libvirtnetwork), python3(vdsm.virt.libvirtxml), python3(vdsm.virt.livemerge), python3(vdsm.virt.metadata), python3(vdsm.virt.migration), python3(vdsm.virt.periodic), python3(vdsm.virt.qemuguestagent), python3(vdsm.virt.recovery), python3(vdsm.virt.sampling), python3(vdsm.virt.saslpasswd2), python3(vdsm.virt.secret), python3(vdsm.virt.thinp), python3(vdsm.virt.utils), python3(vdsm.virt.virdomain), python3(vdsm.virt.vm), python3(vdsm.virt.vmchannels), python3(vdsm.virt.vmdevices), python3(vdsm.virt.vmdevices.common), python3(vdsm.virt.vmdevices.compat), python3(vdsm.virt.vmdevices.core), python3(vdsm.virt.vmdevices.drivename), python3(vdsm.virt.vmdevices.graphics), python3(vdsm.virt.vmdevices.hostdevice), python3(vdsm.virt.vmdevices.hwclass), python3(vdsm.virt.vmdevices.lease), python3(vdsm.virt.vmdevices.lookup), python3(vdsm.virt.vmdevices.network), python3(vdsm.virt.vmdevices.storage), python3(vdsm.virt.vmdevices.storagexml), python3(vdsm.virt.vmexitreason), python3(vdsm.virt.vmpowerdown), python3(vdsm.virt.vmstats), python3(vdsm.virt.vmstatus), python3(vdsm.virt.vmtune), python3(vdsm.virt.vmxml), python3(vdsm.virt.xmlconstants), python3(vdsm.virtsparsify), python3(vdsm.virtsysprep) Requires: python3-module-ioprocess >= 1.4.1, python3-module-vdsm-common = 4.50.6-alt1.1, python3-module-vdsm-api = 4.50.6-alt1.1, python3-module-vdsm-network = 4.50.6-alt1.1, /usr/bin/python3, /usr/lib/python3/site-packages, python3(__future__) < 0, python3(argparse) < 0, python3(asyncore) < 0, python3(augeas) < 0, python3(base64) < 0, python3(binascii) < 0, python3(bz2) < 0, python3(codecs) < 0, python3(collections) < 0, python3(contextlib) < 0, python3(copy) < 0, python3(ctypes) < 0, python3(datetime) < 0, python3(dateutil) < 0, python3(enum) < 0, python3(fcntl) < 0, python3(fnmatch) < 0, python3(functools) < 0, python3(getopt) < 0, python3(getpass) < 0, python3(glob) < 0, python3(grp) < 0, python3(hashlib) < 0, python3(heapq) < 0, python3(importlib) < 0, python3(inspect) < 0, python3(io) < 0, python3(ioprocess) < 0, python3(ipaddress) < 0, python3(json) < 0, python3(libvirt) < 0, python3(libvirt_qemu) < 0, python3(linecache) < 0, python3(logging) < 0, python3(logging.config) < 0, python3(math) < 0, python3(mmap) < 0, python3(multiprocessing) < 0, python3(numbers) < 0, python3(operator) < 0, python3(os) < 0, python3(os.path) < 0, python3(os_brick) < 0, python3(os_brick.initiator) < 0, python3(os_brick.privileged) < 0, python3(oslo_concurrency) < 0, python3(oslo_privsep) < 0, python3(oslo_utils) < 0, python3(ovirt_imageio._internal) < 0, python3(pickle) < 0, python3(pkgutil) < 0, python3(pprint) < 0, python3(random) < 0, python3(re) < 0, python3(requests) < 0, python3(resource) < 0, python3(sanlock) < 0, python3(select) < 0, python3(selinux) < 0, python3(shutil) < 0, python3(signal) < 0, python3(six) < 0, python3(six.moves) < 0, python3(socket) < 0, python3(sqlite3) < 0, python3(ssl) < 0, python3(stat) < 0, python3(string) < 0, python3(struct) < 0, python3(subprocess) < 0, python3(syslog) < 0, python3(tarfile) < 0, python3(tempfile) < 0, python3(textwrap) < 0, python3(threading) < 0, python3(traceback) < 0, python3(types) < 0, python3(typing) < 0, python3(urllib.parse) < 0, python3(uuid) < 0, python3(vdsm.api) < 0, python3(vdsm.common) < 0, python3(vdsm.common.cache) < 0, python3(vdsm.common.cmdutils) < 0, python3(vdsm.common.commands) < 0, python3(vdsm.common.config) < 0, python3(vdsm.common.constants) < 0, python3(vdsm.common.conv) < 0, python3(vdsm.common.cpuarch) < 0, python3(vdsm.common.define) < 0, python3(vdsm.common.errors) < 0, python3(vdsm.common.exception) < 0, python3(vdsm.common.fileutils) < 0, python3(vdsm.common.hostdev) < 0, python3(vdsm.common.hostutils) < 0, python3(vdsm.common.libvirtconnection) < 0, python3(vdsm.common.logutils) < 0, python3(vdsm.common.marks) < 0, python3(vdsm.common.network) < 0, python3(vdsm.common.network.address) < 0, python3(vdsm.common.osutils) < 0, python3(vdsm.common.panic) < 0, python3(vdsm.common.password) < 0, python3(vdsm.common.proc) < 0, python3(vdsm.common.supervdsm) < 0, python3(vdsm.common.threadlocal) < 0, python3(vdsm.common.time) < 0, python3(vdsm.common.units) < 0, python3(vdsm.gluster) < 0, python3(vdsm.network) < 0, python3(vdsm.network.api) < 0, python3(vdsm.network.errors) < 0, python3(vdsm.network.initializer) < 0, python3(vdsm.network.link.bond) < 0, python3(vdsm.network.netinfo.routes) < 0, python3(vdsm.network.restore_net_config) < 0, python3(vdsm.network.sysctl) < 0, python3(vdsm.network.tc) < 0, python3(weakref) < 0, python3(xml.dom) < 0, python3(xml.etree) < 0, python3(xml.etree.ElementTree) < 0, python3(xml.sax.saxutils) < 0, python3(yajsonrpc) < 0, python3(yajsonrpc.betterAsyncore) < 0, python3(yajsonrpc.exception) < 0, python3(yajsonrpc.stompclient) < 0, python3(yajsonrpc.stompserver) < 0, python3(zipfile) < 0 Processing files: vdsm-hook-allocate_net-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Cap8u9 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.sQRwQT find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/10_allocate_net: skipping "sys" lines:[12] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(random) < 0, python3(traceback) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-boot_hostdev-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.dxlr1P find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.sNM3LZ find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(os) < 0, python3(traceback) < 0, python3(vdsm.hook) < 0 Processing files: vdsm-hook-checkimages-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.oscuTK find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.fXHezZ find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) :25: SyntaxWarning: invalid escape sequence '\d' py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/60_checkimages: skipping "sys" lines:[10] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(fcntl) < 0, python3(hooking) < 0, python3(os) < 0, python3(signal) < 0, python3(struct) < 0, python3(subprocess) < 0, python3(threading) < 0, python3(traceback) < 0, python3(vdsm.common.units) < 0 Processing files: vdsm-hook-checkips-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.emmei5 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) python.prov.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/checkips_utils.py: ASCII Java program text (SKIP) python3.prov.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/checkips_utils.py: ASCII Java program text (SKIP) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.1e073o find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) python.req.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/checkips_utils.py: ASCII Java program text (SKIP) python3.req.files: /usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/checkips_utils.py: ASCII Java program text (SKIP) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/10_checkips: skipping "sys" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/10_checkips: skipping "time" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_stats/10_checkips: skipping "checkips_utils" lines:[16] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/checkipsd: skipping "sys" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/checkipsd: skipping "time" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/checkipsd: skipping "checkips_utils" lines:[20] Finding Requires(post) (using /usr/lib/rpm/find-scriptlet-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.JFCWaq find-scriptlet-requires: FINDPACKAGE-COMMANDS: sd_booted Finding Requires(preun) (using /usr/lib/rpm/find-scriptlet-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.YcZFdx find-scriptlet-requires: FINDPACKAGE-COMMANDS: sd_booted Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(six) < 0, python3(subprocess) < 0, python3(syslog) < 0, python3(tempfile) < 0, python3(threading) < 0, python3(traceback) < 0, python3(vdsm) < 0, python3(vdsm.network.netconfpersistence) < 0 Requires(interp): /bin/sh Requires(post): /bin/sh, service Requires(preun): /bin/sh, service Processing files: vdsm-hook-diskunmap-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.xQIrA8 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ytJ4aY find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_diskunmap: skipping "sys" lines:[20] Requires: qemu-kvm >= 1.5, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-ethtool-options-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.z4Sbqs find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.M5qMhn find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_network_setup/30_ethtool_options: skipping "sys" lines:[9] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(collections) < 0, python3(hooking) < 0, python3(traceback) < 0, python3(vdsm.common.cmdutils) < 0, python3(vdsm.network.link.bond) < 0 Processing files: vdsm-hook-extra-ipv4-addrs-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.oe6jsl find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.U1X0pV find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_network_setup/40_extra_ipv4_addrs: skipping "sys" lines:[8] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(traceback) < 0, python3(vdsm.network) < 0 Processing files: vdsm-hook-vhostmd-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.LwuLpV find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.C5uzxU find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Requires: vdsm = 4.50.6-alt1.1, vhostmd, /etc/sudoers.d, /usr/bin/python3, python3(__future__) < 0, python3(enum) < 0, python3(hooking) < 0, python3(os) < 0, python3(os.path) < 0, python3(subprocess) < 0, python3(vdsm) < 0, python3(vdsm.common) < 0, python3(vdsm.config) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-faqemu-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.crQSv5 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.9nWnXA find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/10_faqemu: skipping "sys" lines:[14] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/10_faqemu: "hooking" lines:[[[[199]]]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/10_faqemu: skipping "sys" lines:[14] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/10_faqemu: "hooking" lines:[[[[472]]]]: Ignore for REQ:slight Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(functools) < 0, python3(getopt) < 0, python3(vdsm) < 0, python3(vdsm.common) < 0, python3(vdsm.config) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-localdisk-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.mnmokK find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.KdpOHx find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_disk_prepare/localdisk: skipping "time" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_source/localdisk: skipping "sys" lines:[10] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/localdisk-helper: skipping "sys" lines:[9] Requires: vdsm = 4.50.6-alt1.1, /etc/sudoers.d, /lib/udev/rules.d, /usr/bin/python3, python3(__future__) < 0, python3(argparse) < 0, python3(hooking) < 0, python3(json) < 0, python3(os) < 0, python3(tempfile) < 0, python3(vdsm.common) < 0, python3(vdsm.storage) < 0 Processing files: vdsm-hook-log-firmware-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ZDGg4D find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.AFOKNx find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(os) < 0, python3(re) < 0, python3(traceback) < 0, python3(vdsm.hook) < 0 Processing files: vdsm-hook-log-console-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.WbOi62 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.YlU5ZG find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(os) < 0, python3(re) < 0, python3(traceback) < 0, python3(vdsm.hook) < 0 Processing files: vdsm-hook-macbind-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.AoMwHM find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.XRySDF find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_macbind: skipping "sys" lines:[21] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(ast) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0, python3(vdsm.network.ipwrapper) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-extnet-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.CyxtwG find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.xxYWK2 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_extnet: skipping "sys" lines:[21] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_extnet: skipping "sys" lines:[21] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-fakevmstats-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ZMk9Jp find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.REqgBV find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(codecs) < 0, python3(hooking) < 0, python3(random) < 0, python3(vdsm.common.units) < 0, python3(vdsm.config) < 0 Processing files: vdsm-hook-fileinject-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.c2q23k find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.uKbLC1 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_fileinject: skipping "sys" lines:[9] Requires: python3-module-libguestfs, vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(guestfs) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0 Processing files: vdsm-hook-httpsisoboot-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.81yix4 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ymWW0v find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_httpsisoboot: skipping "sys" lines:[11] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(six.moves) < 0, python3(traceback) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-nestedvt-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.xovKM5 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.WT76q9 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(vdsm) < 0 Processing files: vdsm-hook-openstacknet-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.I1TvR3 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.pV4dMj find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_create/50_openstacknet: skipping "sys" lines:[30] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_create/50_openstacknet: "openstacknet_utils" lines:[34, 35, 36, 37, 38, 39, 40, 41] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_migrate_destination/50_openstacknet: skipping "sys" lines:[30] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_device_migrate_destination/50_openstacknet: "openstacknet_utils" lines:[34, 35, 36, 37, 38, 39, 40, 41] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/50_openstacknet: skipping "errno" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/50_openstacknet: skipping "sys" lines:[11] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/50_openstacknet: "openstacknet_utils" lines:[21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_get_caps/50_openstacknet: "hooking" lines:[[14]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_nic_hotplug/50_openstacknet: skipping "sys" lines:[30] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_nic_hotplug/50_openstacknet: "openstacknet_utils" lines:[34, 35, 36, 37, 38, 39, 40, 41] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_start/50_openstacknet: skipping "time" lines:[20] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_start/50_openstacknet: "openstacknet_utils" lines:[23, 24] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_openstacknet: skipping "sys" lines:[33] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_openstacknet: "openstacknet_utils" lines:[38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_openstacknet: "openstacknet_utils" lines:[[153]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_openstacknet: skipping "sys" lines:[33] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_openstacknet: "openstacknet_utils" lines:[38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_openstacknet: "openstacknet_utils" lines:[[153]]: Ignore for REQ:slight Requires: vdsm = 4.50.6-alt1.1, /etc/sudoers.d, /usr/bin/python3, python3(__future__) < 0, python3(getopt) < 0, python3(hooking) < 0, python3(libvirt) < 0, python3(os) < 0, python3(subprocess) < 0, python3(traceback) < 0, python3(vdsm) < 0, python3(vdsm.common.cmdutils) < 0, python3(vdsm.config) < 0, python3(vdsm.network.api) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-qemucmdline-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.qaRYYe find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.vJj2h4 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_qemucmdline: skipping "sys" lines:[10] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(json) < 0, python3(os) < 0, python3(traceback) < 0 Processing files: vdsm-hook-scratchpad-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.hyLj3b find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.y1EDzU find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/after_vm_destroy/50_scratchpad: skipping "sys" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_migrate_source/50_scratchpad: skipping "sys" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_scratchpad: skipping "sys" lines:[10] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(re) < 0, python3(stat) < 0, python3(traceback) < 0 Processing files: vdsm-hook-smbios-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.6H79X3 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.KWAJj2 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_smbios: skipping "sys" lines:[9] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(ast) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0 Processing files: vdsm-hook-spiceoptions-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.LRp6ri find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ufC93R find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_spiceoptions: skipping "sys" lines:[10] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(ast) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-vmfex-dev-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.879cpb find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.WM7CTk find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_create/50_vmfex: skipping "sys" lines:[20] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_device_migrate_destination/50_vmfex: skipping "sys" lines:[20] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_nic_hotplug/50_vmfex: skipping "sys" lines:[20] Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(fcntl) < 0, python3(hooking) < 0, python3(os) < 0, python3(traceback) < 0, python3(vdsm.common) < 0, python3(xml.dom) < 0 Processing files: vdsm-hook-fcoe-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Kr8NNX find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Cb3jYZ find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) Finding Requires(post) (using /usr/lib/rpm/find-scriptlet-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.smH5ai find-scriptlet-requires: FINDPACKAGE-COMMANDS: sd_booted Requires: vdsm = 4.50.6-alt1.1, fcoe-utils, /usr/bin/python3, python3(__future__) < 0, python3(hooking) < 0, python3(os) < 0, python3(shlex) < 0, python3(six) < 0, python3(traceback) < 0, python3(vdsm.common) < 0, python3(vdsm.network.netconfpersistence) < 0 Requires(interp): /bin/sh Requires(post): /bin/sh, service Processing files: vdsm-hook-cpuflags-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.yPOoQa find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.ANR2W1 find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_cpuflags: skipping "sys" lines:[61] py3req:/usr/src/tmp/vdsm-buildroot/usr/libexec/vdsm/hooks/before_vm_start/50_cpuflags: "hooking" lines:[[[209]]]: Ignore for REQ:slight Requires: vdsm = 4.50.6-alt1.1, /usr/bin/python3, python3(__future__) < 0, python3(collections) < 0, python3(getopt) < 0, python3(os) < 0 Processing files: python3-module-vdsm-gluster-4.50.6-alt1.1 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.bNLSnB find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.YkK09o find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:vdsm py3prov: detected potential module:vdsm py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py: "vdsm.gluster" lines:[18] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py: skipping "pwd" lines:[22] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/api.py: "vdsm.gluster" lines:[24, 25, 26] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/apiwrapper.py: "vdsm.gluster.api" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/cli.py: skipping "errno" lines:[8] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/cli.py: skipping "time" lines:[13] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/cli.py: "vdsm.gluster" lines:[20, 21] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/events.py: "vdsm.gluster" lines:[10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fence.py: "vdsm.gluster" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fstab.py: "vdsm.gluster" lines:[11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/fstab.py: "vdsm.gluster" lines:[13] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/gfapi.py: "vdsm.gluster" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/gfapi.py: skipping "sys" lines:[15] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/gfapi.py: "vdsm.gluster" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/hooks.py: skipping "errno" lines:[9] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/hooks.py: "vdsm.gluster.exception" lines:[17] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/hooks.py: "vdsm.gluster" lines:[19, 20] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/services.py: "vdsm.gluster" lines:[7] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/services.py: "vdsm.gluster" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py: "vdsm.gluster" lines:[27, 28] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py: "vdsm.gluster" lines:[29] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/storagedev.py: "blivet.devices" lines:[[21]]: Ignore for REQ:slight py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/tasks.py: "vdsm.gluster" lines:[10, 11] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/tasks.py: "vdsm.gluster.cli" lines:[12] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/tasks.py: "vdsm.gluster" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/vdsm-buildroot/usr/lib/python3/site-packages/vdsm/gluster/thinstorage.py: "vdsm.gluster" lines:[16, 17] is possibly a self-providing dependency, skip it Provides: vdsm-gluster = 4.50.6-alt1.1, python3(vdsm.gluster), python3(vdsm.gluster.api), python3(vdsm.gluster.apiwrapper), python3(vdsm.gluster.cli), python3(vdsm.gluster.events), python3(vdsm.gluster.exception), python3(vdsm.gluster.fence), python3(vdsm.gluster.fstab), python3(vdsm.gluster.gfapi), python3(vdsm.gluster.hooks), python3(vdsm.gluster.services), python3(vdsm.gluster.storagedev), python3(vdsm.gluster.tasks), python3(vdsm.gluster.thinstorage) Requires: vdsm = 4.50.6-alt1.1, libblockdev-plugins, xfsprogs, /usr/lib/python3/site-packages, python3(__future__) < 0, python3(argparse) < 0, python3(base64) < 0, python3(blivet) < 0, python3(blivet.devices) < 0, python3(blivet.formats) < 0, python3(blivet.formats.fs) < 0, python3(blivet.size) < 0, python3(calendar) < 0, python3(collections) < 0, python3(ctypes) < 0, python3(fcntl) < 0, python3(functools) < 0, python3(hashlib) < 0, python3(json) < 0, python3(logging) < 0, python3(magic) < 0, python3(math) < 0, python3(os) < 0, python3(selinux) < 0, python3(six) < 0, python3(socket) < 0, python3(stat) < 0, python3(subprocess) < 0, python3(tempfile) < 0, python3(vdsm) < 0, python3(vdsm.common) < 0, python3(vdsm.common.define) < 0, python3(vdsm.common.exception) < 0, python3(vdsm.common.units) < 0, python3(vdsm.network.netinfo) < 0, python3(vdsm.storage) < 0, python3(vdsm.tool) < 0, python3(xml.etree.ElementTree) < 0, python3(yaml) < 0 Adding to vdsm a strict dependency on python3-module-vdsm-rpc-http Adding to vdsm a strict dependency on python3-module-vdsm-rpc Adding to vdsm-hook-allocate_net a strict dependency on vdsm Adding to vdsm-hook-boot_hostdev a strict dependency on vdsm Adding to vdsm-hook-checkimages a strict dependency on vdsm Adding to vdsm-hook-checkips a strict dependency on vdsm Adding to vdsm-hook-ethtool-options a strict dependency on vdsm Adding to vdsm-hook-extra-ipv4-addrs a strict dependency on vdsm Adding to vdsm-hook-vhostmd a strict dependency on vdsm Adding to vdsm-hook-faqemu a strict dependency on vdsm Adding to vdsm-hook-localdisk a strict dependency on vdsm Adding to vdsm-hook-log-firmware a strict dependency on vdsm Adding to vdsm-hook-log-console a strict dependency on vdsm Adding to vdsm-hook-macbind a strict dependency on vdsm Adding to vdsm-hook-extnet a strict dependency on vdsm Adding to vdsm-hook-fakevmstats a strict dependency on vdsm Adding to vdsm-hook-fileinject a strict dependency on vdsm Adding to vdsm-hook-httpsisoboot a strict dependency on vdsm Adding to vdsm-hook-nestedvt a strict dependency on vdsm Adding to vdsm-hook-openstacknet a strict dependency on vdsm Adding to vdsm-hook-qemucmdline a strict dependency on vdsm Adding to vdsm-hook-scratchpad a strict dependency on vdsm Adding to vdsm-hook-smbios a strict dependency on vdsm Adding to vdsm-hook-spiceoptions a strict dependency on vdsm Adding to vdsm-hook-vmfex-dev a strict dependency on vdsm Adding to vdsm-hook-fcoe a strict dependency on vdsm Adding to vdsm-hook-cpuflags a strict dependency on vdsm Adding to python3-module-vdsm-gluster a strict dependency on vdsm Adding to vdsm-client a strict dependency on python3-module-vdsm-api Adding to vdsm-client a strict dependency on python3-module-yajsonrpc Adding to python3-module-vdsm-rpc a strict dependency on python3-module-vdsm-api Adding to python3-module-vdsm a strict dependency on python3-module-vdsm-api Adding to python3-module-vdsm-rpc a strict dependency on python3-module-yajsonrpc Adding to python3-module-vdsm-rpc a strict dependency on python3-module-vdsm Adding to python3-module-vdsm-network a strict dependency on python3-module-vdsm-common Adding to python3-module-vdsm a strict dependency on python3-module-vdsm-common Adding to python3-module-vdsm a strict dependency on python3-module-vdsm-network Adding to vdsm a strict dependency on python3-module-vdsm-common Adding to vdsm a strict dependency on python3-module-vdsm Adding to python3-module-vdsm-rpc-http a strict dependency on python3-module-vdsm-common Adding to python3-module-vdsm-rpc-http a strict dependency on python3-module-vdsm Adding to vdsm-client a strict dependency on python3-module-vdsm Adding to python3-module-vdsm-api a strict dependency on python3-module-yajsonrpc Adding to python3-module-vdsm-api a strict dependency on python3-module-vdsm-common Adding to python3-module-vdsm-api a strict dependency on python3-module-vdsm Adding to python3-module-vdsm-rpc a strict dependency on python3-module-vdsm-common Adding to python3-module-vdsm-rpc a strict dependency on python3-module-vdsm-network Adding to python3-module-yajsonrpc a strict dependency on python3-module-vdsm-common Adding to python3-module-yajsonrpc a strict dependency on python3-module-vdsm Adding to python3-module-vdsm-common a strict dependency on python3-module-vdsm Adding to python3-module-vdsm a strict dependency on python3-module-yajsonrpc Adding to python3-module-vdsm a strict dependency on python3-module-vdsm-gluster Adding to vdsm-hook-allocate_net a strict dependency on python3-module-vdsm Adding to vdsm-hook-boot_hostdev a strict dependency on python3-module-vdsm Adding to vdsm-hook-checkimages a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-checkimages a strict dependency on python3-module-vdsm Adding to vdsm-hook-checkips a strict dependency on python3-module-vdsm-network Adding to vdsm-hook-checkips a strict dependency on python3-module-vdsm Adding to vdsm-hook-diskunmap a strict dependency on python3-module-vdsm Adding to vdsm-hook-ethtool-options a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-ethtool-options a strict dependency on python3-module-vdsm-network Adding to vdsm-hook-ethtool-options a strict dependency on python3-module-vdsm Adding to vdsm-hook-extra-ipv4-addrs a strict dependency on python3-module-vdsm-network Adding to vdsm-hook-extra-ipv4-addrs a strict dependency on python3-module-vdsm Adding to vdsm-hook-vhostmd a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-vhostmd a strict dependency on python3-module-vdsm Adding to vdsm-hook-faqemu a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-faqemu a strict dependency on python3-module-vdsm Adding to vdsm-hook-localdisk a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-localdisk a strict dependency on python3-module-vdsm Adding to vdsm-hook-log-firmware a strict dependency on python3-module-vdsm Adding to vdsm-hook-log-console a strict dependency on python3-module-vdsm Adding to vdsm-hook-macbind a strict dependency on python3-module-vdsm-network Adding to vdsm-hook-macbind a strict dependency on python3-module-vdsm Adding to vdsm-hook-extnet a strict dependency on python3-module-vdsm Adding to vdsm-hook-fakevmstats a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-fakevmstats a strict dependency on python3-module-vdsm Adding to vdsm-hook-fileinject a strict dependency on python3-module-vdsm Adding to vdsm-hook-httpsisoboot a strict dependency on python3-module-vdsm Adding to vdsm-hook-nestedvt a strict dependency on python3-module-vdsm Adding to vdsm-hook-openstacknet a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-openstacknet a strict dependency on python3-module-vdsm-network Adding to vdsm-hook-openstacknet a strict dependency on python3-module-vdsm Adding to vdsm-hook-qemucmdline a strict dependency on python3-module-vdsm Adding to vdsm-hook-scratchpad a strict dependency on python3-module-vdsm Adding to vdsm-hook-smbios a strict dependency on python3-module-vdsm Adding to vdsm-hook-spiceoptions a strict dependency on python3-module-vdsm Adding to vdsm-hook-vmfex-dev a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-vmfex-dev a strict dependency on python3-module-vdsm Adding to vdsm-hook-fcoe a strict dependency on python3-module-vdsm-common Adding to vdsm-hook-fcoe a strict dependency on python3-module-vdsm-network Adding to vdsm-hook-fcoe a strict dependency on python3-module-vdsm Adding to python3-module-vdsm-gluster a strict dependency on python3-module-vdsm-common Adding to python3-module-vdsm-gluster a strict dependency on python3-module-vdsm-network Adding to python3-module-vdsm-gluster a strict dependency on python3-module-vdsm Removing 2 extra deps from vdsm due to dependency on python3-module-vdsm-common Removing 4 extra deps from vdsm due to dependency on python3-module-vdsm Removing 2 extra deps from python3-module-vdsm-rpc-http due to dependency on python3-module-vdsm-common Removing 2 extra deps from python3-module-vdsm-rpc-http due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-client due to dependency on python3-module-vdsm-api Removing 1 extra deps from vdsm-client due to dependency on python3-module-vdsm Removing 1 extra deps from python3-module-vdsm-rpc due to dependency on python3-module-vdsm-api Removing 1 extra deps from python3-module-vdsm-api due to dependency on python3-module-yajsonrpc Removing 2 extra deps from python3-module-vdsm-api due to dependency on python3-module-vdsm-common Removing 1 extra deps from python3-module-vdsm-api due to dependency on python3-module-vdsm Removing 1 extra deps from python3-module-vdsm due to dependency on python3-module-vdsm-api Removing 2 extra deps from python3-module-vdsm-rpc due to dependency on python3-module-yajsonrpc Removing 1 extra deps from python3-module-vdsm-rpc due to dependency on python3-module-vdsm-common Removing 1 extra deps from python3-module-vdsm-rpc due to dependency on python3-module-vdsm-network Removing 2 extra deps from python3-module-vdsm-rpc due to dependency on python3-module-vdsm Removing 6 extra deps from python3-module-yajsonrpc due to dependency on python3-module-vdsm-common Removing 3 extra deps from python3-module-yajsonrpc due to dependency on python3-module-vdsm Removing 5 extra deps from python3-module-vdsm due to dependency on python3-module-yajsonrpc Removing 9 extra deps from python3-module-vdsm-network due to dependency on python3-module-vdsm-common Removing 1 extra deps from python3-module-vdsm-common due to dependency on python3-module-vdsm Removing 27 extra deps from python3-module-vdsm due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-checkimages due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-ethtool-options due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-vhostmd due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-faqemu due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-localdisk due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-fakevmstats due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-openstacknet due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-vmfex-dev due to dependency on python3-module-vdsm-common Removing 1 extra deps from vdsm-hook-fcoe due to dependency on python3-module-vdsm-common Removing 4 extra deps from python3-module-vdsm-gluster due to dependency on python3-module-vdsm-common Removing 9 extra deps from python3-module-vdsm due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-checkips due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-ethtool-options due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-extra-ipv4-addrs due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-macbind due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-openstacknet due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-fcoe due to dependency on python3-module-vdsm-network Removing 1 extra deps from python3-module-vdsm-gluster due to dependency on python3-module-vdsm-network Removing 1 extra deps from vdsm-hook-allocate_net due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-boot_hostdev due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-checkimages due to dependency on python3-module-vdsm Removing 2 extra deps from vdsm-hook-checkips due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-diskunmap due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-ethtool-options due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-extra-ipv4-addrs due to dependency on python3-module-vdsm Removing 3 extra deps from vdsm-hook-vhostmd due to dependency on python3-module-vdsm Removing 2 extra deps from vdsm-hook-faqemu due to dependency on python3-module-vdsm Removing 2 extra deps from vdsm-hook-localdisk due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-log-firmware due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-log-console due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-macbind due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-extnet due to dependency on python3-module-vdsm Removing 2 extra deps from vdsm-hook-fakevmstats due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-fileinject due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-httpsisoboot due to dependency on python3-module-vdsm Removing 2 extra deps from vdsm-hook-nestedvt due to dependency on python3-module-vdsm Removing 3 extra deps from vdsm-hook-openstacknet due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-qemucmdline due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-scratchpad due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-smbios due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-spiceoptions due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-vmfex-dev due to dependency on python3-module-vdsm Removing 1 extra deps from vdsm-hook-fcoe due to dependency on python3-module-vdsm Removing 1 extra deps from python3-module-vdsm due to dependency on python3-module-vdsm-gluster Removing 3 extra deps from python3-module-vdsm-gluster due to dependency on python3-module-vdsm Removing 3 extra deps from vdsm due to repentancy on python3-module-vdsm-rpc-http Removing 3 extra deps from vdsm-client due to repentancy on vdsm Removing 2 extra deps from vdsm due to repentancy on python3-module-vdsm-api Removing 9 extra deps from vdsm due to repentancy on python3-module-vdsm-common Removing 1 extra deps from vdsm due to repentancy on python3-module-vdsm-network Removing 5 extra deps from vdsm due to repentancy on python3-module-vdsm Removing 1 extra deps from vdsm-hook-checkips due to repentancy on vdsm Removing 1 extra deps from vdsm-hook-diskunmap due to repentancy on vdsm Removing 1 extra deps from vdsm-hook-vhostmd due to repentancy on vdsm Removing 2 extra deps from vdsm-hook-localdisk due to repentancy on vdsm Removing 1 extra deps from vdsm-hook-openstacknet due to repentancy on vdsm Removing 1 extra deps from vdsm-hook-fcoe due to repentancy on vdsm Removing 3 extra deps from vdsm-client due to repentancy on python3-module-vdsm-rpc-http Removing 4 extra deps from python3-module-vdsm-rpc-http due to repentancy on python3-module-vdsm-api Removing 1 extra deps from python3-module-vdsm-rpc-http due to repentancy on python3-module-vdsm-rpc Removing 3 extra deps from python3-module-vdsm-rpc-http due to repentancy on python3-module-yajsonrpc Removing 1 extra deps from vdsm-client due to repentancy on python3-module-vdsm-api Removing 3 extra deps from python3-module-vdsm-api due to repentancy on python3-module-vdsm-rpc Removing 2 extra deps from python3-module-vdsm-api due to repentancy on python3-module-yajsonrpc Removing 3 extra deps from python3-module-vdsm-api due to repentancy on python3-module-vdsm-common Removing 2 extra deps from python3-module-vdsm-api due to repentancy on python3-module-vdsm Removing 5 extra deps from python3-module-vdsm-rpc due to repentancy on python3-module-yajsonrpc Removing 1 extra deps from python3-module-vdsm-rpc due to repentancy on python3-module-vdsm Removing 12 extra deps from python3-module-yajsonrpc due to repentancy on python3-module-vdsm-common Removing 2 extra deps from python3-module-yajsonrpc due to repentancy on python3-module-vdsm Removing 22 extra deps from python3-module-vdsm-common due to repentancy on python3-module-vdsm-network Removing 17 extra deps from python3-module-vdsm-common due to repentancy on python3-module-vdsm Removing 28 extra deps from python3-module-vdsm-network due to repentancy on python3-module-vdsm Removing 6 extra deps from vdsm-hook-allocate_net due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-boot_hostdev due to repentancy on python3-module-vdsm Removing 9 extra deps from vdsm-hook-checkimages due to repentancy on python3-module-vdsm Removing 9 extra deps from vdsm-hook-checkips due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-diskunmap due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-ethtool-options due to repentancy on python3-module-vdsm Removing 3 extra deps from vdsm-hook-extra-ipv4-addrs due to repentancy on python3-module-vdsm Removing 7 extra deps from vdsm-hook-vhostmd due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-faqemu due to repentancy on python3-module-vdsm Removing 6 extra deps from vdsm-hook-localdisk due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-log-firmware due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-log-console due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-macbind due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-extnet due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-fakevmstats due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-fileinject due to repentancy on python3-module-vdsm Removing 6 extra deps from vdsm-hook-httpsisoboot due to repentancy on python3-module-vdsm Removing 2 extra deps from vdsm-hook-nestedvt due to repentancy on python3-module-vdsm Removing 8 extra deps from vdsm-hook-openstacknet due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-qemucmdline due to repentancy on python3-module-vdsm Removing 6 extra deps from vdsm-hook-scratchpad due to repentancy on python3-module-vdsm Removing 4 extra deps from vdsm-hook-smbios due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-spiceoptions due to repentancy on python3-module-vdsm Removing 6 extra deps from vdsm-hook-vmfex-dev due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-fcoe due to repentancy on python3-module-vdsm Removing 5 extra deps from vdsm-hook-cpuflags due to repentancy on python3-module-vdsm Removing 20 extra deps from python3-module-vdsm due to repentancy on python3-module-vdsm-gluster Wrote: /usr/src/RPM/RPMS/noarch/vdsm-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-rpc-http-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-client-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-api-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-rpc-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-yajsonrpc-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-common-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-network-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-allocate_net-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-boot_hostdev-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-checkimages-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-checkips-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-diskunmap-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-ethtool-options-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-extra-ipv4-addrs-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-vhostmd-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-faqemu-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-localdisk-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-log-firmware-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-log-console-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-macbind-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-extnet-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-fakevmstats-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-fileinject-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-httpsisoboot-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-nestedvt-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-openstacknet-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-qemucmdline-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-scratchpad-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-smbios-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-spiceoptions-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-vmfex-dev-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-fcoe-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/vdsm-hook-cpuflags-4.50.6-alt1.1.noarch.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/noarch/python3-module-vdsm-gluster-4.50.6-alt1.1.noarch.rpm (w2.lzdio) 27.26user 28.58system 1:58.57elapsed 47%CPU (0avgtext+0avgdata 23600maxresident)k 0inputs+0outputs (0major+3455085minor)pagefaults 0swaps 4.48user 3.11system 2:17.21elapsed 5%CPU (0avgtext+0avgdata 127164maxresident)k 48inputs+0outputs (0major+293843minor)pagefaults 0swaps --- python3-module-vdsm-common-4.50.6-alt1.1.noarch.rpm.repo 2024-02-27 17:57:47.000000000 +0000 +++ python3-module-vdsm-common-4.50.6-alt1.1.noarch.rpm.hasher 2024-04-27 11:40:07.997535963 +0000 @@ -285,5 +285,5 @@ File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/config.cpython-312.pyc 100644 root:root 826ab48501daafa8f38d7bc53eaad9b9 -File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-1.pyc 100644 root:root 42a14c8ef113154b6b779304084bc0c5 -File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-2.pyc 100644 root:root 42a14c8ef113154b6b779304084bc0c5 -File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.pyc 100644 root:root 42a14c8ef113154b6b779304084bc0c5 +File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-1.pyc 100644 root:root c861c8d267fe1e8fbc800ea7714785db +File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.opt-2.pyc 100644 root:root c861c8d267fe1e8fbc800ea7714785db +File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/constants.cpython-312.pyc 100644 root:root c861c8d267fe1e8fbc800ea7714785db File: /usr/lib/python3/site-packages/vdsm/common/__pycache__/conv.cpython-312.opt-1.pyc 100644 root:root 54507d629a753e82c3796c170d9c7f2c @@ -406,3 +406,3 @@ File: /usr/lib/python3/site-packages/vdsm/common/config.py 100644 root:root 1263f3aec2e4dc7f8e17fc4329723867 -File: /usr/lib/python3/site-packages/vdsm/common/constants.py 100644 root:root 52af091f2fce0278949dfa17acad00e6 +File: /usr/lib/python3/site-packages/vdsm/common/constants.py 100644 root:root f1be4a279f58881f17d2cbb798a46d03 File: /usr/lib/python3/site-packages/vdsm/common/conv.py 100644 root:root faa8cbf559c6a16d7f561f79705b4c42 @@ -454,2 +454,2 @@ File: /usr/lib/python3/site-packages/vdsm/common/xmlutils.py 100644 root:root af6048c42393f9778f5cadcbd3154050 -RPMIdentity: 90aec6f17637077c0142c0ccdb96e27c0da3bc2186ca118927b6297c3b6a85f0a7321681ea13963f4f4c840de1768d26c023e95fd472431ae5145128c4a6dee5 +RPMIdentity: 6b6f032ce2ad8e421720ec3335cee6c1918521894c8e44ba7beaca60973cc121c3567d5ad455353223ba82c8aaca964f9eb4edd5eb95449ec4f6f6cf200d611f --- vdsm-4.50.6-alt1.1.noarch.rpm.repo 2024-02-27 17:57:43.000000000 +0000 +++ vdsm-4.50.6-alt1.1.noarch.rpm.hasher 2024-04-27 11:40:08.490545935 +0000 @@ -197,8 +197,5 @@ Requires: /bin/cat -Requires: /bin/chmod Requires: /bin/chown -Requires: /bin/mkdir Requires: /bin/rm Requires: /bin/sh -Requires: /bin/touch Requires: /etc/cron.hourly @@ -208,4 +205,8 @@ Requires: /usr/bin/certtool +Requires: /usr/bin/chmod +Requires: /usr/bin/chown Requires: /usr/bin/find Requires: /usr/bin/logger +Requires: /usr/bin/mkdir +Requires: /usr/bin/touch Requires: /usr/sbin/logrotate @@ -242,3 +243,3 @@ File: /etc/security/limits.d/99-vdsm.conf 100644 root:root 9625b42b47993ded14fc748025f1b726 -File: /etc/sudoers.d/50_vdsm 100400 root:root 0131579199b4045acc1b2c0b3cf6fa22 +File: /etc/sudoers.d/50_vdsm 100400 root:root acf594c2a0ee885f6bdf9d6206844dd3 File: /etc/sysctl.d/vdsm.conf 100644 root:root 028f44eb6ca9ae3e24d78038b4c3ae01 @@ -266,3 +267,3 @@ File: /lib/tmpfiles.d/vdsm.conf 100644 root:root cb943309013a912d9c1d619f8b51eb8e -File: /lib/udev/rules.d/12-vdsm-lvm.rules 100644 root:root 7f6d0923a54335ef4a20bcf6329bf145 +File: /lib/udev/rules.d/12-vdsm-lvm.rules 100644 root:root 28da87106311425baa977fac4eb905a5 File: /usr/lib/rpm/vdsm.filetrigger 100755 root:root ca4ec07e23b759c4414686f01262b015 @@ -344,3 +345,3 @@ File: /usr/libexec/vdsm/vdsmd 100755 root:root e46f39cd703f58b2b0c5b417983444e0 -File: /usr/libexec/vdsm/vdsmd_init_common.sh 100755 root:root f61ccdbb9a9ba4a4f72cf02bc5c26d71 +File: /usr/libexec/vdsm/vdsmd_init_common.sh 100755 root:root 15bea73020c687884697b27f215e151a File: /usr/libexec/vdsm/vm_libvirt_hook.py 100755 root:root 578edc2635ed4d9764d627b82386ac5b @@ -382,2 +383,2 @@ File: /var/log/vdsm/vdsm.log 100644 vdsm:vmusers -RPMIdentity: 7680701ebcf8911a93086ed028030ae2f33ba67d0a4e0f290af288938a56aa8755f12f0a53699838ed2215897bc602b19161237497bf1208178b095ad12f81a4 +RPMIdentity: e3c032a454045dd12ef36a3a5a59879b8adaacaa91ac882b517b2100f3750d25df5775b47fa06d52145fe0f5be0111fa1483836272ba6da86f9dbb2ddda1a6ea