<86>Apr 27 11:02:56 userdel[1275415]: delete user 'rooter' <86>Apr 27 11:02:56 userdel[1275415]: removed group 'rooter' owned by 'rooter' <86>Apr 27 11:02:56 userdel[1275415]: removed shadow group 'rooter' owned by 'rooter' <86>Apr 27 11:02:56 groupadd[1275425]: group added to /etc/group: name=rooter, GID=1856 <86>Apr 27 11:02:56 groupadd[1275425]: group added to /etc/gshadow: name=rooter <86>Apr 27 11:02:56 groupadd[1275425]: new group: name=rooter, GID=1856 <86>Apr 27 11:02:56 useradd[1275431]: new user: name=rooter, UID=1856, GID=1856, home=/root, shell=/bin/bash, from=none <86>Apr 27 11:02:56 userdel[1275442]: delete user 'builder' <86>Apr 27 11:02:56 userdel[1275442]: removed group 'builder' owned by 'builder' <86>Apr 27 11:02:56 userdel[1275442]: removed shadow group 'builder' owned by 'builder' <86>Apr 27 11:02:56 groupadd[1275451]: group added to /etc/group: name=builder, GID=1857 <86>Apr 27 11:02:56 groupadd[1275451]: group added to /etc/gshadow: name=builder <86>Apr 27 11:02:56 groupadd[1275451]: new group: name=builder, GID=1857 <86>Apr 27 11:02:56 useradd[1275459]: new user: name=builder, UID=1857, GID=1857, home=/usr/src, shell=/bin/bash, from=none <13>Apr 27 11:03:00 rpmi: libmpdec3-2.5.1-alt3 sisyphus+314490.500.5.1 1675432033 installed <13>Apr 27 11:03:00 rpmi: libgdbm-1.8.3-alt10 sisyphus+278100.1600.1.1 1626059138 installed <13>Apr 27 11:03:00 rpmi: libexpat-2.5.0-alt1 sisyphus+309227.100.1.1 1667075766 installed <13>Apr 27 11:03:00 rpmi: libb2-0.98.1-alt1_1 sisyphus+291614.100.1.1 1638962878 installed <13>Apr 27 11:03:00 rpmi: libp11-kit-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645575 installed <13>Apr 27 11:03:00 rpmi: libtasn1-4.19.0-alt3 sisyphus+327816.100.1.1 1692802618 installed <13>Apr 27 11:03:00 rpmi: rpm-macros-alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>Apr 27 11:03:00 rpmi: alternatives-0.5.2-alt2 sisyphus+315270.200.2.1 1676457367 installed <13>Apr 27 11:03:00 rpmi: ca-certificates-2024.02.24-alt1 sisyphus+341362.100.1.1 1708791001 installed <13>Apr 27 11:03:00 rpmi: ca-trust-0.2.0-alt1 sisyphus+344843.100.1.1 1712743326 installed <13>Apr 27 11:03:00 rpmi: p11-kit-trust-1:0.25.3-alt2 sisyphus+336533.100.1.1 1702645575 installed <13>Apr 27 11:03:00 rpmi: libcrypto3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982452 installed <13>Apr 27 11:03:00 rpmi: libssl3-3.1.5-alt2 sisyphus+343320.140.3.1 1711982452 installed <13>Apr 27 11:03:00 rpmi: python3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:03:01 rpmi: python3-base-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:03:01 rpmi: python3-module-py3dephell-0.1.0-alt2 sisyphus+328191.600.5.1 1693609196 installed <13>Apr 27 11:03:01 rpmi: python3-module-pyproject-installer-0.5.3-alt1 sisyphus+344989.100.3.1 1713974805 installed <13>Apr 27 11:03:01 rpmi: rpm-macros-pyproject-0.0.5-alt1 sisyphus+344989.500.3.1 1713974843 installed <13>Apr 27 11:03:01 rpmi: tests-for-installed-python3-pkgs-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>Apr 27 11:03:01 rpmi: rpm-build-python3-0.1.25-alt1 sisyphus+344685.100.1.1 1712595070 installed <13>Apr 27 11:03:01 rpmi: rpm-build-pyproject-0.0.5-alt1 sisyphus+344989.500.3.1 1713974843 installed <13>Apr 27 11:03:05 rpmi: python3-module-attrs-23.2.0-alt1 sisyphus+338876.100.1.2 1706109385 installed <13>Apr 27 11:03:05 rpmi: python3-module-zope.interface-6.3-alt1 sisyphus+345204.100.1.1 1713193504 installed <13>Apr 27 11:03:05 rpmi: python3-module-pkg_resources-1:69.5.1-alt1 sisyphus+345209.100.2.1 1713349328 installed <13>Apr 27 11:03:05 rpmi: python3-module-greenlet-3.0.1-alt1 sisyphus+333513.52300.73.1 1704646011 installed <13>Apr 27 11:03:05 rpmi: python3-module-idna-3.7-alt1 sisyphus+345659.100.1.1 1713798399 installed <13>Apr 27 11:03:05 rpmi: python3-module-six-1.16.0-alt2 sisyphus+324249.100.1.1 1688484676 installed <13>Apr 27 11:03:05 rpmi: libtcl-8.6.13-alt1 sisyphus+310696.100.1.1 1669548256 installed <13>Apr 27 11:03:05 rpmi: python3-module-multidict-6.0.5-alt1 sisyphus+344299.100.1.1 1712158380 installed <13>Apr 27 11:03:05 rpmi: python3-module-frozenlist-1.3.3-alt1 sisyphus+333513.42100.72.1 1704597617 installed <13>Apr 27 11:03:05 rpmi: python3-module-zombie-imp-0.0.2-alt1 sisyphus+339293.100.1.1 1706537230 installed <13>Apr 27 11:03:05 rpmi: libuv-1.48.0-alt1 sisyphus+340945.100.1.1 1708265067 installed <13>Apr 27 11:03:05 rpmi: libcares-1.28.1-alt1 sisyphus+344335.100.1.1 1712210536 installed <13>Apr 27 11:03:05 rpmi: python3-module-aiosignal-1.3.1-alt1 sisyphus+314057.100.1.1 1674561191 installed <13>Apr 27 11:03:05 rpmi: python3-module-yarl-1.9.4-alt1 sisyphus+342624.100.1.1 1710327187 installed <13>Apr 27 11:03:05 rpmi: tcl-8.6.13-alt1 sisyphus+310696.100.1.1 1669548256 installed <13>Apr 27 11:03:05 rpmi: python3-module-hyperlink-21.0.0-alt1.1 sisyphus+304836.100.1.1 1659710964 installed <13>Apr 27 11:03:05 rpmi: python3-module-outcome-1.3.0-alt1 sisyphus+332382.100.1.1 1697882349 installed <13>Apr 27 11:03:05 rpmi: python3-module-pluggy-1.5.0-alt1 sisyphus+345632.100.2.1 1713864244 installed <13>Apr 27 11:03:05 rpmi: python3-module-packaging-24.0-alt1 sisyphus+342487.100.2.1 1710407438 installed <13>Apr 27 11:03:05 rpmi: python3-module-iniconfig-2.0.0-alt1 sisyphus+314076.200.3.1 1674737275 installed <13>Apr 27 11:03:05 rpmi: python3-module-priority-2.0.0-alt3 sisyphus+338701.100.1.2 1705988383 installed <13>Apr 27 11:03:05 rpmi: python3-module-hpack-4.0.0-alt1 sisyphus+270376.200.1.1 1618956626 installed <13>Apr 27 11:03:05 rpmi: python3-module-hyperframe-6.0.1-alt1 sisyphus+270376.300.2.1 1618957001 installed <13>Apr 27 11:03:05 rpmi: python3-module-h2-4.1.0-alt1 sisyphus+325714.100.2.2 1690478873 installed <13>Apr 27 11:03:05 rpmi: python3-module-click-8.1.7-alt1 sisyphus+327424.100.2.1 1695395098 installed <13>Apr 27 11:03:05 rpmi: python3-module-incremental-22.10.0-alt1 sisyphus+312706.100.1.1 1672404273 installed <13>Apr 27 11:03:05 rpmi: python3-module-constantly-15.1.0-alt7 sisyphus+338906.100.1.1 1706107088 installed <13>Apr 27 11:03:05 rpmi: python3-module-typing_extensions-4.11.0-alt2 sisyphus+345650.100.1.1 1713793625 installed <13>Apr 27 11:03:05 rpmi: python3-module-appdirs-1.4.4-alt1 sisyphus+267613.300.2.1 1620039159 installed <13>Apr 27 11:03:05 rpmi: python3-module-certifi-2023.5.7-alt1 sisyphus+322622.100.1.1 1686217855 installed <13>Apr 27 11:03:05 rpmi: python3-module-z3c-3.0.0-alt4 sisyphus+284857.200.1.1 1631109149 installed <13>Apr 27 11:03:05 rpmi: python3-module-zc-1.0.0-alt7 sisyphus+284857.100.1.1 1631109117 installed <13>Apr 27 11:03:05 rpmi: python3-module-zope-3.3.0-alt9 sisyphus+281937.200.4.1 1628175910 installed <13>Apr 27 11:03:05 rpmi: python3-module-zope.event-5.0-alt1.1 sisyphus+325755.140.2.1 1690991538 installed <13>Apr 27 11:03:05 rpmi: python3-module-sortedcontainers-2.4.0-alt1 sisyphus+272042.100.1.1 1621262424 installed <13>Apr 27 11:03:05 rpmi: python3-module-sniffio-1.3.1-alt1 sisyphus+341424.100.1.1 1708941879 installed <13>Apr 27 11:03:05 rpmi: python3-module-astor-0.8.1-alt1.1 sisyphus+315877.100.1.1 1677481862 installed <13>Apr 27 11:03:05 rpmi: python3-module-pycparser-2.21-alt1.1 sisyphus+309935.7300.4.1 1668527005 installed <13>Apr 27 11:03:05 rpmi: python3-module-cffi-1.16.0-alt1 sisyphus+333513.10400.71.1 1704540321 installed <13>Apr 27 11:03:05 rpmi: python3-module-cryptography-42.0.5-alt1 sisyphus+341498.100.1.1 1709027854 installed <13>Apr 27 11:03:05 rpmi: python3-module-openssl-24.0.0-alt1 sisyphus+340924.100.1.1 1708214977 installed <13>Apr 27 11:03:05 rpmi: python3-module-trio-0.25.0-alt1 sisyphus+344120.100.1.1 1711967757 installed <13>Apr 27 11:03:05 rpmi: python3-module-dns-1:2.6.1-alt1 sisyphus+341811.20.3.1 1709382170 installed <13>Apr 27 11:03:05 rpmi: python3-module-pycares-4.1.2-alt2 sisyphus+340144.100.1.1 1707463032 installed <13>Apr 27 11:03:05 rpmi: python3-module-openid-3.2.0-alt1 sisyphus+278049.100.2.1 1625998936 installed <13>Apr 27 11:03:05 rpmi: python3-module-Cheetah-3.3.3-alt1 sisyphus+333513.10500.71.1 1704540354 installed <13>Apr 27 11:03:05 rpmi: python3-module-paste-3.7.1-alt1 sisyphus+332187.100.1.1 1697730831 installed <13>Apr 27 11:03:05 rpmi: python3-module-PasteDeploy-1:3.1-alt1 sisyphus+343658.100.1.1 1711471990 installed <13>Apr 27 11:03:05 rpmi: python3-module-PasteScript-1:2.0.2-alt2 sisyphus+272468.100.1.1 1621939313 installed <13>Apr 27 11:03:05 rpmi: libsqlite3-3.44.2-alt1 sisyphus+335409.100.3.3 1701347861 installed <13>Apr 27 11:03:05 rpmi: python3-modules-sqlite3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:03:06 rpmi: python3-module-coverage-7.3.2-alt1 sisyphus+340977.100.1.1 1708279274 installed <13>Apr 27 11:03:06 rpmi: libpython3-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:03:06 rpmi: libncurses6-6.3.20220618-alt4 sisyphus+327286.4600.14.1 1711486782 installed <13>Apr 27 11:03:06 rpmi: libtinfo-devel-6.3.20220618-alt4 sisyphus+327286.4600.14.1 1711486782 installed <13>Apr 27 11:03:06 rpmi: libncurses-devel-6.3.20220618-alt4 sisyphus+327286.4600.14.1 1711486782 installed <13>Apr 27 11:03:07 rpmi: python3-dev-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:03:07 rpmi: python3-module-setuptools-1:69.5.1-alt1 sisyphus+345209.100.2.1 1713349328 installed <13>Apr 27 11:03:07 rpmi: openldap-common-2.6.7-alt1 sisyphus+343335.200.1.1 1711113916 installed <13>Apr 27 11:03:07 rpmi: libverto-0.3.2-alt1_1 sisyphus+321176.2200.10.2 1684806164 installed <13>Apr 27 11:03:07 rpmi: liblmdb-0.9.32-alt1 sisyphus+342426.100.1.1 1710124284 installed <13>Apr 27 11:03:07 rpmi: libkeyutils-1.6.3-alt1 sisyphus+266061.100.1.1 1612919567 installed <13>Apr 27 11:03:07 rpmi: libusb-1.0.26-alt3 sisyphus+340691.100.3.1 1708466436 installed <13>Apr 27 11:03:07 rpmi: libhidapi-0.12.0-alt1_1 sisyphus+303213.100.1.1 1657034193 installed <13>Apr 27 11:03:07 rpmi: python3-module-cython-hidapi-0.14.0-alt1.1 sisyphus+333513.54340.73.1 1704649757 installed <13>Apr 27 11:03:07 rpmi: python3-module-serial-3.5-alt2 sisyphus+281995.100.1.1 1628172783 installed <13>Apr 27 11:03:07 rpmi: libev4-4.33-alt3 sisyphus+334335.340.4.1 1699912675 installed <13>Apr 27 11:03:07 rpmi: python3-module-gevent-23.9.1-alt1 sisyphus+340984.100.2.2 1708285338 installed <13>Apr 27 11:03:07 rpmi: libcom_err-1.46.4.0.5.4cda-alt1 sisyphus+283826.100.1.1 1629975361 installed <86>Apr 27 11:03:07 groupadd[1302618]: group added to /etc/group: name=_keytab, GID=999 <86>Apr 27 11:03:07 groupadd[1302618]: group added to /etc/gshadow: name=_keytab <86>Apr 27 11:03:07 groupadd[1302618]: new group: name=_keytab, GID=999 <13>Apr 27 11:03:07 rpmi: libkrb5-1.21.2-alt2 sisyphus+338902.100.2.1 1706700985 installed <86>Apr 27 11:03:07 groupadd[1302898]: group added to /etc/group: name=sasl, GID=998 <86>Apr 27 11:03:07 groupadd[1302898]: group added to /etc/gshadow: name=sasl <86>Apr 27 11:03:07 groupadd[1302898]: new group: name=sasl, GID=998 <13>Apr 27 11:03:07 rpmi: libsasl2-3-2.1.28-alt2 sisyphus+343335.100.1.1 1711112524 installed <13>Apr 27 11:03:07 rpmi: libldap2-2.6.7-alt1 sisyphus+343335.200.1.1 1711113906 installed <13>Apr 27 11:03:07 rpmi: libpq5-16.2-alt1 sisyphus+340457.100.1.1 1707729843 installed <13>Apr 27 11:03:07 rpmi: python3-module-psycopg2-2.9.5-alt1 sisyphus+333513.16300.73.1 1704630356 installed <13>Apr 27 11:03:07 rpmi: python3-module-eventlet-0.36.1-alt1 sisyphus+345098.100.1.1 1713004847 installed <13>Apr 27 11:03:07 rpmi: libpng16-1.6.42-alt2 sisyphus+339555.100.1.1 1706781690 installed <13>Apr 27 11:03:07 rpmi: libbrotlicommon-1.1.0-alt1 sisyphus+328501.100.1.1 1693598420 installed <13>Apr 27 11:03:07 rpmi: libbrotlidec-1.1.0-alt1 sisyphus+328501.100.1.1 1693598420 installed <13>Apr 27 11:03:07 rpmi: libgraphite2-1.3.14-alt2.1 sisyphus+279571.100.1.2 1626605157 installed <13>Apr 27 11:03:07 rpmi: libharfbuzz-8.4.0-alt1 sisyphus+344161.100.1.1 1711987969 installed <13>Apr 27 11:03:07 rpmi: libfreetype-2.13.2-alt1 sisyphus+328677.100.1.1 1693834346 installed <13>Apr 27 11:03:07 rpmi: libfontconfig1-2.14.2-alt8 sisyphus+328444.100.1.1 1693553407 installed <13>Apr 27 11:03:07 rpmi: libXdmcp-1.1.5-alt1 sisyphus+343583.700.1.1 1711441073 installed <13>Apr 27 11:03:07 rpmi: libXau-1.0.11-alt1 sisyphus+311428.100.1.1 1670577440 installed <13>Apr 27 11:03:07 rpmi: libxcb-1.17.0-alt1 sisyphus+345308.200.1.1 1713342009 installed <13>Apr 27 11:03:07 rpmi: libX11-locales-3:1.8.8-alt1 sisyphus+343583.100.1.1 1711440719 installed <13>Apr 27 11:03:07 rpmi: libX11-3:1.8.8-alt1 sisyphus+343583.100.1.1 1711440722 installed <13>Apr 27 11:03:07 rpmi: libXrender-0.9.11-alt1 sisyphus+308841.100.1.1 1666436131 installed <13>Apr 27 11:03:07 rpmi: libXft-2.3.8-alt1 sisyphus+331490.400.1.1 1697023273 installed <13>Apr 27 11:03:07 rpmi: libtk-8.6.13-alt1 sisyphus+310696.200.1.1 1669548528 installed <13>Apr 27 11:03:07 rpmi: tk-8.6.13-alt1 sisyphus+310696.200.1.1 1669548528 installed <13>Apr 27 11:03:07 rpmi: tcl-tix-8.4.3-alt4 sisyphus+277292.300.2.1 1625442551 installed <13>Apr 27 11:03:08 rpmi: python3-modules-tkinter-3.12.2-alt1 sisyphus+341702.200.1.1 1709232959 installed <13>Apr 27 11:03:08 rpmi: python3-module-automat-22.10.0-alt1 sisyphus+322927.200.2.1 1686736914 installed <13>Apr 27 11:03:08 rpmi: python3-module-twisted-logger-22.10.0-alt3 sisyphus+341870.100.1.1 1709406646 installed <13>Apr 27 11:03:08 rpmi: python3-module-twisted-web-22.10.0-alt3 sisyphus+341870.100.1.1 1709406646 installed <13>Apr 27 11:03:08 rpmi: python3-module-twisted-words-22.10.0-alt3 sisyphus+341870.100.1.1 1709406646 installed <13>Apr 27 11:03:08 rpmi: python3-module-twisted-core-22.10.0-alt3 sisyphus+341870.100.1.1 1709406646 installed <13>Apr 27 11:03:08 rpmi: python3-module-twisted-names-22.10.0-alt3 sisyphus+341870.100.1.1 1709406646 installed <13>Apr 27 11:03:08 rpmi: python3-module-tornado-6.4.0-alt1 sisyphus+338807.100.1.1 1706060382 installed <13>Apr 27 11:03:08 rpmi: python3-module-gunicorn-20.1.0-alt2 sisyphus+338616.200.2.1 1705909828 installed <13>Apr 27 11:03:08 rpmi: python3-module-aiohttp-3.9.3-alt2 sisyphus+343644.200.2.1 1711522615 installed <13>Apr 27 11:03:08 rpmi: python3-module-Cython-3.0.7-alt1 sisyphus+333513.11404.71.1 1704540844 installed <13>Apr 27 11:03:08 rpmi: python3-module-pytest-8.0.2-alt1 sisyphus+341429.100.2.1 1709025013 installed <13>Apr 27 11:03:08 rpmi: libuv-devel-1.48.0-alt1 sisyphus+340945.100.1.1 1708265067 installed <13>Apr 27 11:03:08 rpmi: python3-module-wheel-0.43.0-alt1 sisyphus+342546.200.3.1 1710867409 installed <13>Apr 27 11:03:08 rpmi: python3-module-pycodestyle-2.11.1-alt1 sisyphus+339978.100.1.1 1707292656 installed <13>Apr 27 11:03:08 rpmi: python3-module-psutil-5.9.8-alt1 sisyphus+341001.100.1.1 1708284056 installed Building target platforms: i586 Building for target i586 Wrote: /usr/src/in/nosrpm/python3-module-uvloop-0.19.0-alt3.nosrc.rpm (w1.gzdio) Installing python3-module-uvloop-0.19.0-alt3.src.rpm Building target platforms: i586 Building for target i586 Executing(%prep): /bin/sh -e /usr/src/tmp/rpm-tmp.46190 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + rm -rf python3-module-uvloop-0.19.0 + echo 'Source #0 (python3-module-uvloop-0.19.0.tar):' Source #0 (python3-module-uvloop-0.19.0.tar): + /bin/tar -xf /usr/src/RPM/SOURCES/python3-module-uvloop-0.19.0.tar + cd python3-module-uvloop-0.19.0 + /bin/chmod -c -Rf u+rwX,go-w . + echo 'Patch #0 (python3-module-uvloop-0.19.0-alt.patch):' Patch #0 (python3-module-uvloop-0.19.0-alt.patch): + /usr/bin/patch -p1 patching file pyproject.toml patching file tests/test_dns.py patching file uvloop/dns.pyx patching file uvloop/errors.pyx patching file uvloop/handles/basetransport.pxd patching file uvloop/handles/basetransport.pyx patching file uvloop/handles/handle.pyx patching file uvloop/handles/poll.pxd patching file uvloop/handles/poll.pyx patching file uvloop/handles/stream.pyx patching file uvloop/handles/udp.pyx patching file uvloop/loop.pxd patching file uvloop/loop.pyx patching file uvloop/sslproto.pxd patching file uvloop/sslproto.pyx + /bin/install /usr/src/RPM/SOURCES/setup.py setup.py + /usr/bin/python3 -m pyproject_installer deps --depsconfig /usr/src/RPM/SOURCES/pyproject_deps.json show pep518 + /usr/bin/python3 -m pyproject_installer deps --depsconfig /usr/src/RPM/SOURCES/pyproject_deps.json sync --verify pep518 + /usr/bin/python3 -m pyproject_installer deps --depsconfig /usr/src/RPM/SOURCES/pyproject_deps.json show pep517 + /usr/bin/python3 -m pyproject_installer deps --depsconfig /usr/src/RPM/SOURCES/pyproject_deps.json sync --verify pep517 + /usr/bin/python3 -m pyproject_installer deps --depsconfig /usr/src/RPM/SOURCES/pyproject_deps.json show metadata + /usr/bin/python3 -m pyproject_installer deps --depsconfig /usr/src/RPM/SOURCES/pyproject_deps.json sync --verify metadata INFO : Building metadata INFO : Building metadata with prepare_metadata_for_build_wheel INFO : Source tree: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0 INFO : Output dir: /usr/src/tmp/tmp7i82ou2c + exit 0 Executing(%build): /bin/sh -e /usr/src/tmp/rpm-tmp.54662 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd python3-module-uvloop-0.19.0 + export 'CFLAGS=-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export 'CXXFLAGS=-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export 'FFLAGS=-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + /usr/bin/python3 -m pyproject_installer -v build INFO : pyproject_installer.build_cmd._build : Building wheel INFO : pyproject_installer.build_cmd._build : Source tree: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0 INFO : pyproject_installer.build_cmd._build : Output dir: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/dist DEBUG : pyproject_installer.lib.build_backend : Validating source path DEBUG : pyproject_installer.lib.build_backend : Checking for PEP517 spec DEBUG : pyproject_installer.lib.build_backend : Parsing configuration file: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/pyproject.toml INFO : backend_caller.py : Calling hook build_wheel in subprocess INFO : backend_caller.py : Build backend: setuptools.build_meta INFO : backend_caller.py : Hook args: ['/usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/dist'] INFO : backend_caller.py : Hook kwargs: {'config_settings': None} INFO : root : running bdist_wheel INFO : root : running build INFO : root : running build_py INFO : root : creating build INFO : root : creating build/lib.linux-i686-cpython-312 INFO : root : creating build/lib.linux-i686-cpython-312/uvloop INFO : root : copying uvloop/_version.py -> build/lib.linux-i686-cpython-312/uvloop INFO : root : copying uvloop/_testbase.py -> build/lib.linux-i686-cpython-312/uvloop INFO : root : copying uvloop/_noop.py -> build/lib.linux-i686-cpython-312/uvloop INFO : root : copying uvloop/__init__.py -> build/lib.linux-i686-cpython-312/uvloop INFO : root : creating build/lib.linux-i686-cpython-312/uvloop/includes INFO : root : copying uvloop/includes/__init__.py -> build/lib.linux-i686-cpython-312/uvloop/includes INFO : root : copying uvloop/loop.pyi -> build/lib.linux-i686-cpython-312/uvloop INFO : root : copying uvloop/py.typed -> build/lib.linux-i686-cpython-312/uvloop INFO : root : running build_ext INFO : root : building 'uvloop.loop' extension INFO : root : creating build/temp.linux-i686-cpython-312 INFO : root : creating build/temp.linux-i686-cpython-312/uvloop INFO : root : i586-alt-linux-gcc -fno-strict-overflow -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -g -O3 -Wall -pipe -frecord-gcc-switches -Wall -g -O3 -flto=auto -ffat-lto-objects -march=i586 -mtune=generic -pipe -frecord-gcc-switches -Wall -g -O3 -flto=auto -ffat-lto-objects -march=i586 -mtune=generic -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic -fPIC -I/usr/include/python3.12 -c uvloop/loop.c -o build/temp.linux-i686-cpython-312/uvloop/loop.o uvloop/loop.c: In function ‘__pyx_pf_6uvloop_4loop_4_testhelper_unwrap_capsuled_pointer’: uvloop/loop.c:72449:42: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 72449 | __pyx_t_2 = __Pyx_PyInt_From_uint64_t(((uint64_t)__pyx_t_1)); if (unlikely(!__pyx_t_2)) __PYX_ERR(0, 3248, __pyx_L1_error) | ^ INFO : root : i586-alt-linux-gcc -shared -pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic build/temp.linux-i686-cpython-312/uvloop/loop.o -L/usr/lib -o build/lib.linux-i686-cpython-312/uvloop/loop.cpython-312.so -luv INFO : wheel : installing to build/bdist.linux-i686/wheel INFO : root : running install INFO : root : running install_lib INFO : root : creating build/bdist.linux-i686 INFO : root : creating build/bdist.linux-i686/wheel INFO : root : creating build/bdist.linux-i686/wheel/uvloop INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/loop.cpython-312.so -> build/bdist.linux-i686/wheel/uvloop INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/py.typed -> build/bdist.linux-i686/wheel/uvloop INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/loop.pyi -> build/bdist.linux-i686/wheel/uvloop INFO : root : creating build/bdist.linux-i686/wheel/uvloop/includes INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/includes/__init__.py -> build/bdist.linux-i686/wheel/uvloop/includes INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/__init__.py -> build/bdist.linux-i686/wheel/uvloop INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/_noop.py -> build/bdist.linux-i686/wheel/uvloop INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/_testbase.py -> build/bdist.linux-i686/wheel/uvloop INFO : root : copying build/lib.linux-i686-cpython-312/uvloop/_version.py -> build/bdist.linux-i686/wheel/uvloop INFO : root : running install_egg_info INFO : root : running egg_info INFO : root : creating uvloop.egg-info INFO : root : writing uvloop.egg-info/PKG-INFO INFO : root : writing dependency_links to uvloop.egg-info/dependency_links.txt INFO : root : writing requirements to uvloop.egg-info/requires.txt INFO : root : writing top-level names to uvloop.egg-info/top_level.txt INFO : root : writing manifest file 'uvloop.egg-info/SOURCES.txt' INFO : root : reading manifest file 'uvloop.egg-info/SOURCES.txt' INFO : root : reading manifest template 'MANIFEST.in' WARNING : root : warning: no files found matching '*' under directory 'vendor/libuv' WARNING : root : warning: no previously-included files matching '*' found under directory 'vendor/libuv/.git' WARNING : root : warning: no previously-included files matching '*' found under directory 'vendor/libuv/docs' WARNING : root : warning: no previously-included files matching '*' found under directory 'vendor/libuv/img' INFO : root : adding license file 'LICENSE-APACHE' INFO : root : adding license file 'LICENSE-MIT' INFO : root : writing manifest file 'uvloop.egg-info/SOURCES.txt' INFO : root : Copying uvloop.egg-info to build/bdist.linux-i686/wheel/uvloop-0.19.0-py3.12.egg-info INFO : root : running install_scripts INFO : wheel : creating build/bdist.linux-i686/wheel/uvloop-0.19.0.dist-info/WHEEL INFO : wheel : creating '/usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/dist/.tmp-nczp4lhs/uvloop-0.19.0-cp312-cp312-linux_i686.whl' and adding 'build/bdist.linux-i686/wheel' to it INFO : wheel : adding 'uvloop/__init__.py' INFO : wheel : adding 'uvloop/_noop.py' INFO : wheel : adding 'uvloop/_testbase.py' INFO : wheel : adding 'uvloop/_version.py' INFO : wheel : adding 'uvloop/loop.cpython-312.so' INFO : wheel : adding 'uvloop/loop.pyi' INFO : wheel : adding 'uvloop/py.typed' INFO : wheel : adding 'uvloop/includes/__init__.py' INFO : wheel : adding 'uvloop-0.19.0.dist-info/LICENSE-APACHE' INFO : wheel : adding 'uvloop-0.19.0.dist-info/LICENSE-MIT' INFO : wheel : adding 'uvloop-0.19.0.dist-info/METADATA' INFO : wheel : adding 'uvloop-0.19.0.dist-info/WHEEL' INFO : wheel : adding 'uvloop-0.19.0.dist-info/top_level.txt' INFO : wheel : adding 'uvloop-0.19.0.dist-info/RECORD' INFO : wheel : removing build/bdist.linux-i686/wheel INFO : pyproject_installer.build_cmd._build : Built wheel: uvloop-0.19.0-cp312-cp312-linux_i686.whl + exit 0 Executing(%install): /bin/sh -e /usr/src/tmp/rpm-tmp.25750 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + /bin/chmod -Rf u+rwX -- /usr/src/tmp/python3-module-uvloop-buildroot + : + /bin/rm -rf -- /usr/src/tmp/python3-module-uvloop-buildroot + PATH=/usr/libexec/rpm-build:/usr/src/bin:/usr/bin:/bin:/usr/local/bin:/usr/games + cd python3-module-uvloop-0.19.0 + /usr/bin/python3 -m pyproject_installer -v install --destdir=/usr/src/tmp/python3-module-uvloop-buildroot INFO : pyproject_installer.install_cmd._install : Installing wheel INFO : pyproject_installer.install_cmd._install : Wheel directory: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/dist INFO : pyproject_installer.install_cmd._install : Wheel filename: uvloop-0.19.0-cp312-cp312-linux_i686.whl INFO : pyproject_installer.install_cmd._install : Destination: /usr/src/tmp/python3-module-uvloop-buildroot DEBUG : pyproject_installer.lib.wheel : Parsing wheel filename DEBUG : pyproject_installer.lib.wheel : Validating wheel file DEBUG : pyproject_installer.lib.wheel : Validating wheel spec version DEBUG : pyproject_installer.lib.wheel : Parsing wheel spec metadata DEBUG : pyproject_installer.lib.wheel : Validating RECORD INFO : pyproject_installer.install_cmd._install : Wheel installation root: /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages INFO : pyproject_installer.install_cmd._install : Extracting wheel DEBUG : pyproject_installer.install_cmd._install : Filtering out not allowed file: uvloop-0.19.0.dist-info/LICENSE-APACHE DEBUG : pyproject_installer.install_cmd._install : Filtering out not allowed file: uvloop-0.19.0.dist-info/LICENSE-MIT DEBUG : pyproject_installer.install_cmd._install : Filtering out not allowed file: uvloop-0.19.0.dist-info/WHEEL DEBUG : pyproject_installer.install_cmd._install : Filtering out not allowed file: uvloop-0.19.0.dist-info/top_level.txt DEBUG : pyproject_installer.install_cmd._install : Filtering out not allowed file: uvloop-0.19.0.dist-info/RECORD INFO : pyproject_installer.install_cmd._install : Wheel was installed + /bin/rm /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_testbase.py + /usr/lib/rpm/brp-alt Cleaning files in /usr/src/tmp/python3-module-uvloop-buildroot (auto) Verifying and fixing files in /usr/src/tmp/python3-module-uvloop-buildroot (binconfig,pkgconfig,libtool,desktop,gnuconfig) Checking contents of files in /usr/src/tmp/python3-module-uvloop-buildroot/ (default) Compressing files in /usr/src/tmp/python3-module-uvloop-buildroot (auto) Adjusting library links in /usr/src/tmp/python3-module-uvloop-buildroot ./usr/lib: (from :0) Verifying ELF objects in /usr/src/tmp/python3-module-uvloop-buildroot (arch=normal,fhs=normal,lfs=relaxed,lint=relaxed,rpath=normal,stack=normal,textrel=normal,unresolved=normal) Splitting links to aliased files under /{,s}bin in /usr/src/tmp/python3-module-uvloop-buildroot Bytecompiling python3 modules in /usr/src/tmp/python3-module-uvloop-buildroot using /usr/bin/python3 compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_noop.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_version.py Bytecompiling python3 modules with optimization in /usr/src/tmp/python3-module-uvloop-buildroot using /usr/bin/python3 -O compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_noop.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_version.py Bytecompiling python3 modules with optimization-2 in /usr/src/tmp/python3-module-uvloop-buildroot using /usr/bin/python3 -OO compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_noop.py compile /usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/_version.py Hardlinking identical .pyc and .opt-?.pyc files './usr/lib/python3/site-packages/uvloop/__pycache__/_noop.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/uvloop/__pycache__/_noop.cpython-312.pyc' './usr/lib/python3/site-packages/uvloop/__pycache__/_version.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/uvloop/__pycache__/_version.cpython-312.pyc' './usr/lib/python3/site-packages/uvloop/__pycache__/_version.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/uvloop/__pycache__/_version.cpython-312.opt-1.pyc' './usr/lib/python3/site-packages/uvloop/includes/__pycache__/__init__.cpython-312.opt-1.pyc' => './usr/lib/python3/site-packages/uvloop/includes/__pycache__/__init__.cpython-312.pyc' './usr/lib/python3/site-packages/uvloop/includes/__pycache__/__init__.cpython-312.opt-2.pyc' => './usr/lib/python3/site-packages/uvloop/includes/__pycache__/__init__.cpython-312.opt-1.pyc' Executing(%check): /bin/sh -e /usr/src/tmp/rpm-tmp.24579 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd python3-module-uvloop-0.19.0 + /bin/rm -rf uvloop + export 'CFLAGS=-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + CFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export 'CXXFLAGS=-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + CXXFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export 'FFLAGS=-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + FFLAGS='-pipe -frecord-gcc-switches -Wall -g -O2 -flto=auto -march=i586 -mtune=generic' + export NO_INTERNET=YES + NO_INTERNET=YES + /usr/bin/python3 -m pyproject_installer run -- python3 -m pytest -vra INFO : Creating venv INFO : Installing console scripts INFO : Installing package: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/dist/uvloop-0.19.0-cp312-cp312-linux_i686.whl INFO : Running command: ['/usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/.run_venv/bin/python3', '-m', 'pyproject_installer', 'install', '/usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/dist/uvloop-0.19.0-cp312-cp312-linux_i686.whl'] INFO : Running command: ['python3', '-m', 'pytest', '-vra'] ============================= test session starts ============================== platform linux -- Python 3.12.2, pytest-8.0.2, pluggy-1.5.0 -- /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/.run_venv/bin/python3 cachedir: .pytest_cache rootdir: /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0 configfile: pyproject.toml testpaths: tests collecting ... collected 504 items tests/test_aiohttp.py::Test_UV_AioHTTP::test_aiohttp_basic_1 PASSED tests/test_aiohttp.py::Test_UV_AioHTTP::test_aiohttp_graceful_shutdown PASSED tests/test_aiohttp.py::Test_AIO_AioHTTP::test_aiohttp_basic_1 PASSED tests/test_aiohttp.py::Test_AIO_AioHTTP::test_aiohttp_graceful_shutdown SKIPPED tests/test_base.py::TestBaseUV::test_big_call_later_timeout PASSED tests/test_base.py::TestBaseUV::test_call_at PASSED tests/test_base.py::TestBaseUV::test_call_later_1 PASSED tests/test_base.py::TestBaseUV::test_call_later_2 PASSED tests/test_base.py::TestBaseUV::test_call_later_3 PASSED tests/test_base.py::TestBaseUV::test_call_later_4 PASSED tests/test_base.py::TestBaseUV::test_call_later_negative PASSED tests/test_base.py::TestBaseUV::test_call_later_rounding PASSED tests/test_base.py::TestBaseUV::test_call_soon_1 PASSED tests/test_base.py::TestBaseUV::test_call_soon_2 PASSED tests/test_base.py::TestBaseUV::test_call_soon_3 PASSED tests/test_base.py::TestBaseUV::test_call_soon_base_exc PASSED tests/test_base.py::TestBaseUV::test_call_soon_threadsafe_safety PASSED tests/test_base.py::TestBaseUV::test_calls_debug_reporting PASSED tests/test_base.py::TestBaseUV::test_check_thread PASSED tests/test_base.py::TestBaseUV::test_close PASSED tests/test_base.py::TestBaseUV::test_debug_slow_callbacks PASSED tests/test_base.py::TestBaseUV::test_debug_slow_task_callbacks PASSED tests/test_base.py::TestBaseUV::test_debug_slow_timer_callbacks PASSED tests/test_base.py::TestBaseUV::test_default_exc_handler_broken PASSED tests/test_base.py::TestBaseUV::test_default_exc_handler_callback PASSED tests/test_base.py::TestBaseUV::test_handle_weakref PASSED tests/test_base.py::TestBaseUV::test_inf_wait_for PASSED tests/test_base.py::TestBaseUV::test_loop_call_later_handle_cancelled PASSED tests/test_base.py::TestBaseUV::test_loop_call_later_handle_when PASSED tests/test_base.py::TestBaseUV::test_loop_call_later_handle_when_after_fired PASSED tests/test_base.py::TestBaseUV::test_loop_call_soon_handle_cancelled PASSED tests/test_base.py::TestBaseUV::test_loop_create_future PASSED tests/test_base.py::TestBaseUV::test_loop_std_files_cloexec PASSED tests/test_base.py::TestBaseUV::test_now_update PASSED tests/test_base.py::TestBaseUV::test_run_once_in_executor_plain PASSED tests/test_base.py::TestBaseUV::test_run_until_complete_error PASSED tests/test_base.py::TestBaseUV::test_run_until_complete_keyboard_interrupt PASSED tests/test_base.py::TestBaseUV::test_run_until_complete_loop PASSED tests/test_base.py::TestBaseUV::test_run_until_complete_loop_orphan_future_close_loop PASSED tests/test_base.py::TestBaseUV::test_run_until_complete_type_error PASSED tests/test_base.py::TestBaseUV::test_set_debug PASSED tests/test_base.py::TestBaseUV::test_set_exc_handler_broken PASSED tests/test_base.py::TestBaseUV::test_set_exc_handler_custom PASSED tests/test_base.py::TestBaseUV::test_set_task_factory PASSED tests/test_base.py::TestBaseUV::test_set_task_factory_invalid PASSED tests/test_base.py::TestBaseUV::test_set_task_name PASSED tests/test_base.py::TestBaseUV::test_shutdown_asyncgens_01 PASSED tests/test_base.py::TestBaseUV::test_shutdown_asyncgens_02 PASSED tests/test_base.py::TestBaseUV::test_shutdown_asyncgens_03 PASSED tests/test_base.py::TestBaseUV::test_shutdown_default_executor PASSED tests/test_base.py::TestBaseAIO::test_call_at PASSED tests/test_base.py::TestBaseAIO::test_call_later_1 PASSED tests/test_base.py::TestBaseAIO::test_call_later_2 PASSED tests/test_base.py::TestBaseAIO::test_call_later_3 PASSED tests/test_base.py::TestBaseAIO::test_call_later_4 PASSED tests/test_base.py::TestBaseAIO::test_call_later_negative PASSED tests/test_base.py::TestBaseAIO::test_call_later_rounding PASSED tests/test_base.py::TestBaseAIO::test_call_soon_1 PASSED tests/test_base.py::TestBaseAIO::test_call_soon_2 PASSED tests/test_base.py::TestBaseAIO::test_call_soon_3 PASSED tests/test_base.py::TestBaseAIO::test_call_soon_base_exc PASSED tests/test_base.py::TestBaseAIO::test_call_soon_threadsafe_safety PASSED tests/test_base.py::TestBaseAIO::test_calls_debug_reporting PASSED tests/test_base.py::TestBaseAIO::test_check_thread PASSED tests/test_base.py::TestBaseAIO::test_close PASSED tests/test_base.py::TestBaseAIO::test_debug_slow_callbacks PASSED tests/test_base.py::TestBaseAIO::test_debug_slow_task_callbacks PASSED tests/test_base.py::TestBaseAIO::test_debug_slow_timer_callbacks PASSED tests/test_base.py::TestBaseAIO::test_default_exc_handler_callback PASSED tests/test_base.py::TestBaseAIO::test_handle_weakref PASSED tests/test_base.py::TestBaseAIO::test_inf_wait_for PASSED tests/test_base.py::TestBaseAIO::test_now_update PASSED tests/test_base.py::TestBaseAIO::test_run_once_in_executor_plain PASSED tests/test_base.py::TestBaseAIO::test_run_until_complete_error PASSED tests/test_base.py::TestBaseAIO::test_run_until_complete_keyboard_interrupt PASSED tests/test_base.py::TestBaseAIO::test_run_until_complete_loop PASSED tests/test_base.py::TestBaseAIO::test_run_until_complete_loop_orphan_future_close_loop PASSED tests/test_base.py::TestBaseAIO::test_run_until_complete_type_error PASSED tests/test_base.py::TestBaseAIO::test_set_debug PASSED tests/test_base.py::TestBaseAIO::test_set_exc_handler_broken PASSED tests/test_base.py::TestBaseAIO::test_set_exc_handler_custom PASSED tests/test_base.py::TestBaseAIO::test_set_task_factory PASSED tests/test_base.py::TestBaseAIO::test_set_task_factory_invalid PASSED tests/test_base.py::TestBaseAIO::test_set_task_name PASSED tests/test_base.py::TestBaseAIO::test_shutdown_asyncgens_01 PASSED tests/test_base.py::TestBaseAIO::test_shutdown_asyncgens_02 PASSED tests/test_base.py::TestBaseAIO::test_shutdown_asyncgens_03 PASSED tests/test_base.py::TestBaseAIO::test_shutdown_default_executor PASSED tests/test_base.py::TestPolicy::test_get_event_loop_returns_running_loop PASSED tests/test_base.py::TestPolicy::test_running_loop_within_a_loop PASSED tests/test_base.py::TestPolicy::test_uvloop_policy PASSED tests/test_context.py::Test_UV_Context::test_connect_accepted_socket PASSED tests/test_context.py::Test_UV_Context::test_create_connection_protocol PASSED tests/test_context.py::Test_UV_Context::test_create_server_connection_protocol PASSED tests/test_context.py::Test_UV_Context::test_create_server_manual_connection_lost PASSED tests/test_context.py::Test_UV_Context::test_create_server_protocol_factory_context PASSED tests/test_context.py::Test_UV_Context::test_create_ssl_server_connection_protocol PASSED tests/test_context.py::Test_UV_Context::test_create_ssl_server_manual_connection_lost PASSED tests/test_context.py::Test_UV_Context::test_datagram_protocol PASSED tests/test_context.py::Test_UV_Context::test_start_tls PASSED tests/test_context.py::Test_UV_Context::test_subprocess_protocol PASSED tests/test_context.py::Test_UV_Context::test_task_context_1 PASSED tests/test_context.py::Test_UV_Context::test_task_context_2 PASSED tests/test_context.py::Test_UV_Context::test_task_context_3 PASSED tests/test_context.py::Test_UV_Context::test_task_context_4 PASSED tests/test_context.py::Test_UV_Context::test_task_decimal_context PASSED tests/test_context.py::Test_AIO_Context::test_connect_accepted_socket PASSED tests/test_context.py::Test_AIO_Context::test_create_connection_protocol PASSED tests/test_context.py::Test_AIO_Context::test_create_server_connection_protocol PASSED tests/test_context.py::Test_AIO_Context::test_create_server_manual_connection_lost SKIPPED tests/test_context.py::Test_AIO_Context::test_create_server_protocol_factory_context PASSED tests/test_context.py::Test_AIO_Context::test_create_ssl_server_connection_protocol PASSED tests/test_context.py::Test_AIO_Context::test_create_ssl_server_manual_connection_lost SKIPPED tests/test_context.py::Test_AIO_Context::test_datagram_protocol PASSED tests/test_context.py::Test_AIO_Context::test_start_tls SKIPPED (thi...) tests/test_context.py::Test_AIO_Context::test_subprocess_protocol PASSED tests/test_context.py::Test_AIO_Context::test_task_context_1 PASSED tests/test_context.py::Test_AIO_Context::test_task_context_2 PASSED tests/test_context.py::Test_AIO_Context::test_task_context_3 PASSED tests/test_context.py::Test_AIO_Context::test_task_context_4 PASSED tests/test_context.py::Test_AIO_Context::test_task_decimal_context PASSED tests/test_cython.py::TestCythonIntegration::test_cython_coro_is_coroutine PASSED tests/test_dealloc.py::TestDealloc::test_dealloc_1 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_1 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_10 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_11 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_12 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_13 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_14 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_15 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_16 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_17 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_18 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_19 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_2 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_20 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_3 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_4 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_5 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_6 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_7 PASSED tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_8 SKIPPED (Must fai...) tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_9 SKIPPED (Must fai...) tests/test_dns.py::Test_UV_DNS::test_getaddrinfo_close_loop SKIPPED tests/test_dns.py::Test_UV_DNS::test_getnameinfo_1 PASSED tests/test_dns.py::Test_UV_DNS::test_getnameinfo_2 PASSED tests/test_dns.py::Test_UV_DNS::test_getnameinfo_3 PASSED tests/test_dns.py::Test_UV_DNS::test_getnameinfo_4 PASSED tests/test_dns.py::Test_UV_DNS::test_getnameinfo_5 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_1 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_10 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_11 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_12 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_13 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_14 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_15 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_16 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_17 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_18 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_19 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_2 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_20 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_3 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_4 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_5 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_6 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_7 PASSED tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_8 SKIPPED (Must fa...) tests/test_dns.py::Test_AIO_DNS::test_getaddrinfo_9 SKIPPED (Must fa...) tests/test_dns.py::Test_AIO_DNS::test_getnameinfo_1 PASSED tests/test_dns.py::Test_AIO_DNS::test_getnameinfo_2 PASSED tests/test_dns.py::Test_AIO_DNS::test_getnameinfo_3 PASSED tests/test_dns.py::Test_AIO_DNS::test_getnameinfo_4 PASSED tests/test_dns.py::Test_AIO_DNS::test_getnameinfo_5 PASSED tests/test_executors.py::TestUVExecutors::test_executors_process_pool_01 PASSED tests/test_executors.py::TestUVExecutors::test_executors_process_pool_02 PASSED tests/test_executors.py::TestAIOExecutors::test_executors_process_pool_01 PASSED tests/test_executors.py::TestAIOExecutors::test_executors_process_pool_02 PASSED tests/test_fs_event.py::Test_UV_FS_EVENT_CHANGE::test_fs_event_change PASSED tests/test_fs_event.py::Test_UV_FS_EVENT_RENAME::test_fs_event_rename PASSED tests/test_libuv_api.py::Test_UV_libuv::test_libuv_get_loop_t_ptr PASSED tests/test_libuv_api.py::Test_UV_libuv::test_libuv_get_version PASSED tests/test_pipes.py::Test_UV_Pipes::test_read_pipe PASSED tests/test_pipes.py::Test_UV_Pipes::test_read_pty_output PASSED tests/test_pipes.py::Test_UV_Pipes::test_write_buffer_full PASSED tests/test_pipes.py::Test_UV_Pipes::test_write_pipe PASSED tests/test_pipes.py::Test_UV_Pipes::test_write_pipe_disconnect_on_close PASSED tests/test_pipes.py::Test_UV_Pipes::test_write_pty PASSED tests/test_pipes.py::Test_AIO_Pipes::test_read_pipe PASSED tests/test_pipes.py::Test_AIO_Pipes::test_read_pty_output PASSED tests/test_pipes.py::Test_AIO_Pipes::test_write_buffer_full PASSED tests/test_pipes.py::Test_AIO_Pipes::test_write_pipe PASSED tests/test_pipes.py::Test_AIO_Pipes::test_write_pipe_disconnect_on_close PASSED tests/test_pipes.py::Test_AIO_Pipes::test_write_pty PASSED tests/test_process.py::Test_UV_Process::test_process_cwd_1 PASSED tests/test_process.py::Test_UV_Process::test_process_cwd_2 PASSED tests/test_process.py::Test_UV_Process::test_process_double_close :488: UserWarning: enum class uv_fs_event not importable from uvloop.includes.uv. You are probably using a cpdef enum declared in a .pxd file that does not have a .py or .pyx file. PASSED tests/test_process.py::Test_UV_Process::test_process_env_1 PASSED tests/test_process.py::Test_UV_Process::test_process_env_2 PASSED tests/test_process.py::Test_UV_Process::test_process_executable_1 PASSED tests/test_process.py::Test_UV_Process::test_process_executable_2 PASSED tests/test_process.py::Test_UV_Process::test_process_pid_1 PASSED tests/test_process.py::Test_UV_Process::test_process_preexec_fn_1 PASSED tests/test_process.py::Test_UV_Process::test_process_preexec_fn_2 PASSED tests/test_process.py::Test_UV_Process::test_process_send_signal_1 PASSED tests/test_process.py::Test_UV_Process::test_process_streams_basic_1 PASSED tests/test_process.py::Test_UV_Process::test_process_streams_devnull PASSED tests/test_process.py::Test_UV_Process::test_process_streams_pass_fds PASSED tests/test_process.py::Test_UV_Process::test_process_streams_redirect PASSED tests/test_process.py::Test_UV_Process::test_process_streams_stderr_to_stdout PASSED tests/test_process.py::Test_UV_Process::test_subprocess_fd_leak_1 PASSED tests/test_process.py::Test_UV_Process::test_subprocess_fd_leak_2 PASSED tests/test_process.py::Test_UV_Process::test_subprocess_invalid_stdin PASSED tests/test_process.py::Test_AIO_Process::test_process_cwd_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_cwd_2 PASSED tests/test_process.py::Test_AIO_Process::test_process_env_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_env_2 PASSED tests/test_process.py::Test_AIO_Process::test_process_executable_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_executable_2 PASSED tests/test_process.py::Test_AIO_Process::test_process_pid_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_preexec_fn_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_preexec_fn_2 PASSED tests/test_process.py::Test_AIO_Process::test_process_send_signal_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_streams_basic_1 PASSED tests/test_process.py::Test_AIO_Process::test_process_streams_devnull PASSED tests/test_process.py::Test_AIO_Process::test_process_streams_pass_fds PASSED tests/test_process.py::Test_AIO_Process::test_process_streams_redirect PASSED tests/test_process.py::Test_AIO_Process::test_process_streams_stderr_to_stdout PASSED tests/test_process.py::Test_AIO_Process::test_subprocess_fd_leak_1 PASSED tests/test_process.py::Test_AIO_Process::test_subprocess_fd_leak_2 PASSED tests/test_process.py::Test_AIO_Process::test_subprocess_invalid_stdin PASSED tests/test_process.py::TestAsyncio_UV_Process::test_cancel_make_subprocess_transport_exec PASSED tests/test_process.py::TestAsyncio_UV_Process::test_cancel_post_init PASSED tests/test_process.py::TestAsyncio_UV_Process::test_cancel_process_wait PASSED tests/test_process.py::TestAsyncio_UV_Process::test_close_gets_process_closed PASSED tests/test_process.py::TestAsyncio_UV_Process::test_communicate PASSED tests/test_process.py::TestAsyncio_UV_Process::test_communicate_large_stdout_1000000 PASSED tests/test_process.py::TestAsyncio_UV_Process::test_communicate_large_stdout_65536 PASSED tests/test_process.py::TestAsyncio_UV_Process::test_communicate_large_stdout_65537 PASSED tests/test_process.py::TestAsyncio_UV_Process::test_kill PASSED tests/test_process.py::TestAsyncio_UV_Process::test_send_signal PASSED tests/test_process.py::TestAsyncio_UV_Process::test_shell PASSED tests/test_process.py::TestAsyncio_UV_Process::test_start_new_session PASSED tests/test_process.py::TestAsyncio_UV_Process::test_stdin_not_inheritable PASSED tests/test_process.py::TestAsyncio_UV_Process::test_stdin_stderr_file PASSED tests/test_process.py::TestAsyncio_UV_Process::test_stdin_stdout_file PASSED tests/test_process.py::TestAsyncio_UV_Process::test_stdin_stdout_pipe PASSED tests/test_process.py::TestAsyncio_UV_Process::test_terminate PASSED tests/test_process.py::TestAsyncio_UV_Process::test_write_huge_stdin_219263 PASSED tests/test_process.py::TestAsyncio_UV_Process::test_write_huge_stdin_219264 PASSED tests/test_process.py::TestAsyncio_UV_Process::test_write_huge_stdin_8192 PASSED tests/test_process.py::TestAsyncio_UV_Process::test_write_huge_stdin_8193 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_cancel_make_subprocess_transport_exec PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_cancel_post_init PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_cancel_process_wait PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_close_gets_process_closed PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_communicate PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_communicate_large_stdout_1000000 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_communicate_large_stdout_65536 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_communicate_large_stdout_65537 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_kill PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_send_signal PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_shell PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_start_new_session PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_stdin_not_inheritable PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_stdin_stderr_file PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_stdin_stdout_file PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_stdin_stdout_pipe PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_terminate PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_write_huge_stdin_219263 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_write_huge_stdin_219264 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_write_huge_stdin_8192 PASSED tests/test_process.py::TestAsyncio_AIO_Process::test_write_huge_stdin_8193 PASSED tests/test_process.py::Test_UV_Process_Delayed::test_process_delayed_stdio__not_paused__no_stdin PASSED tests/test_process.py::Test_UV_Process_Delayed::test_process_delayed_stdio__paused__no_stdin PASSED tests/test_process.py::Test_UV_Process_Delayed::test_process_delayed_stdio__paused__stdin_pipe PASSED tests/test_process_spawning.py::ProcessSpawningTestCollection::test_spawning_external_process PASSED tests/test_regr1.py::TestIssue39Regr::test_issue39_regression PASSED tests/test_runner.py::TestSourceCode::test_uvloop_run_1 PASSED tests/test_runner.py::TestSourceCode::test_uvloop_run_2 PASSED tests/test_signals.py::Test_UV_Signals::test_signals_and_custom_handler_1 PASSED tests/test_signals.py::Test_UV_Signals::test_signals_coro_callback PASSED tests/test_signals.py::Test_UV_Signals::test_signals_fork_in_thread PASSED tests/test_signals.py::Test_UV_Signals::test_signals_invalid_signal PASSED tests/test_signals.py::Test_UV_Signals::test_signals_no_SIGCHLD PASSED tests/test_signals.py::Test_UV_Signals::test_signals_sigint_and_custom_handler PASSED tests/test_signals.py::Test_UV_Signals::test_signals_sigint_pycode_continue PASSED tests/test_signals.py::Test_UV_Signals::test_signals_sigint_pycode_stop PASSED tests/test_signals.py::Test_UV_Signals::test_signals_sigint_uvcode PASSED tests/test_signals.py::Test_UV_Signals::test_signals_sigint_uvcode_two_loop_runs PASSED tests/test_signals.py::Test_UV_Signals::test_signals_wakeup_fd_unchanged PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_and_custom_handler_1 PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_coro_callback PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_fork_in_thread PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_invalid_signal PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_sigint_and_custom_handler PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_sigint_pycode_continue PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_sigint_pycode_stop PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_sigint_uvcode PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_sigint_uvcode_two_loop_runs PASSED tests/test_signals.py::Test_AIO_Signals::test_signals_wakeup_fd_unchanged PASSED tests/test_sockets.py::TestUVSockets::test_add_reader_or_writer_transport_fd PASSED tests/test_sockets.py::TestUVSockets::test_pseudosocket PASSED tests/test_sockets.py::TestUVSockets::test_sock_cancel_add_reader_race PASSED tests/test_sockets.py::TestUVSockets::test_sock_send_before_cancel PASSED tests/test_sockets.py::TestUVSockets::test_socket_accept_recv_send PASSED tests/test_sockets.py::TestUVSockets::test_socket_blocking_error PASSED tests/test_sockets.py::TestUVSockets::test_socket_cancel_sock_recv_1 PASSED tests/test_sockets.py::TestUVSockets::test_socket_cancel_sock_recv_2 PASSED tests/test_sockets.py::TestUVSockets::test_socket_cancel_sock_sendall PASSED tests/test_sockets.py::TestUVSockets::test_socket_close_loop_and_close PASSED tests/test_sockets.py::TestUVSockets::test_socket_close_many_add_readers PASSED tests/test_sockets.py::TestUVSockets::test_socket_close_many_remove_writers PASSED tests/test_sockets.py::TestUVSockets::test_socket_close_remove_reader PASSED tests/test_sockets.py::TestUVSockets::test_socket_close_remove_writer PASSED tests/test_sockets.py::TestUVSockets::test_socket_connect_and_close PASSED tests/test_sockets.py::TestUVSockets::test_socket_failed_connect PASSED tests/test_sockets.py::TestUVSockets::test_socket_fileno PASSED tests/test_sockets.py::TestUVSockets::test_socket_ipv4_nameaddr PASSED tests/test_sockets.py::TestUVSockets::test_socket_ipv6_addr PASSED tests/test_sockets.py::TestUVSockets::test_socket_recv_and_close PASSED tests/test_sockets.py::TestUVSockets::test_socket_recv_into_and_close PASSED tests/test_sockets.py::TestUVSockets::test_socket_send_and_close PASSED tests/test_sockets.py::TestUVSockets::test_socket_sync_remove PASSED tests/test_sockets.py::TestUVSockets::test_socket_sync_remove_and_immediately_close PASSED tests/test_sockets.py::TestAIOSockets::test_sock_cancel_add_reader_race PASSED tests/test_sockets.py::TestAIOSockets::test_sock_send_before_cancel PASSED tests/test_sockets.py::TestAIOSockets::test_socket_accept_recv_send PASSED tests/test_sockets.py::TestAIOSockets::test_socket_blocking_error PASSED tests/test_sockets.py::TestAIOSockets::test_socket_failed_connect PASSED tests/test_sockets.py::TestAIOSockets::test_socket_fileno PASSED tests/test_sockets.py::TestAIOSockets::test_socket_ipv4_nameaddr PASSED tests/test_sockets.py::TestAIOSockets::test_socket_ipv6_addr PASSED tests/test_sockets.py::TestAIOSockets::test_socket_sync_remove_and_immediately_close PASSED tests/test_sourcecode.py::TestSourceCode::test_flake8 SKIPPED (flake...) tests/test_sourcecode.py::TestSourceCode::test_mypy SKIPPED (mypy mo...) tests/test_tcp.py::Test_UV_TCP::test_connect_accepted_socket PASSED tests/test_tcp.py::Test_UV_TCP::test_connect_accepted_socket_ssl_args PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_2 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_3 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_4 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_5 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_6 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_open_con_addr PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_open_con_sock PASSED tests/test_tcp.py::Test_UV_TCP::test_create_connection_wrong_sock PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_1 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_10 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_2 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_3 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_4 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_5 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_6 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_7 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_8 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_9 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_buffered_1 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_buffered_2 PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_float_backlog PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_stream_bittype PASSED tests/test_tcp.py::Test_UV_TCP::test_create_server_wrong_sock PASSED tests/test_tcp.py::Test_UV_TCP::test_flowcontrol_mixin_set_write_limits PASSED tests/test_tcp.py::Test_UV_TCP::test_many_small_writes PASSED tests/test_tcp.py::Test_UV_TCP::test_resume_writing_write_different_transport PASSED tests/test_tcp.py::Test_UV_TCP::test_tcp_handle_abort_in_connection_made PASSED tests/test_tcp.py::Test_UV_TCP::test_tcp_handle_exception_in_connection_made PASSED tests/test_tcp.py::Test_UV_TCP::test_transport_get_extra_info PASSED tests/test_tcp.py::Test_UV_TCP::test_transport_shutdown PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_2 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_3 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_4 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_5 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_6 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_open_con_addr PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_connection_open_con_sock PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_1 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_10 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_2 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_3 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_4 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_5 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_6 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_7 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_8 PASSED tests/test_tcp.py::Test_AIO_TCP::test_create_server_9 PASSED tests/test_tcp.py::Test_AIO_TCP::test_resume_writing_write_different_transport PASSED tests/test_tcp.py::Test_AIO_TCP::test_tcp_handle_exception_in_connection_made PASSED tests/test_tcp.py::Test_AIO_TCP::test_transport_shutdown PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_bpo_39951_discard_trailing_data PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_connect_timeout_warning PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_create_connection_memory_leak PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_create_connection_ssl_1 PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_create_connection_ssl_failed_certificate PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_create_connection_ssl_slow_handshake PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_create_server_ssl_1 PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_create_server_ssl_over_ssl PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_first_data_after_wakeup PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_flush_before_shutdown PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_handshake_timeout_handler_leak PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_remote_shutdown_receives_trailing_data PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_renegotiation PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_shutdown_cleanly PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_shutdown_timeout PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_shutdown_timeout_handler_leak PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_shutdown_timeout_handler_not_set PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_shutdown_while_pause_reading PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_ssl_connect_accepted_socket PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_ssl_handshake_connection_lost PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_ssl_handshake_timeout PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_start_tls_client_buf_proto_1 PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_start_tls_client_corrupted_ssl PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_start_tls_client_reg_proto_1 PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_start_tls_server_1 PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_start_tls_slow_client_cancel PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_start_tls_wrong_args PASSED tests/test_tcp.py::Test_UV_TCPSSL::test_write_to_closed_transport PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_bpo_39951_discard_trailing_data SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_connect_timeout_warning PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_create_connection_memory_leak SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_create_connection_ssl_1 PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_create_connection_ssl_failed_certificate SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_create_connection_ssl_slow_handshake SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_create_server_ssl_1 PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_create_server_ssl_over_ssl SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_first_data_after_wakeup SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_flush_before_shutdown SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_handshake_timeout_handler_leak SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_remote_shutdown_receives_trailing_data PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_renegotiation SKIPPED (asyn...) tests/test_tcp.py::Test_AIO_TCPSSL::test_shutdown_cleanly SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_shutdown_timeout SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_shutdown_timeout_handler_leak PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_shutdown_timeout_handler_not_set SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_shutdown_while_pause_reading SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_ssl_connect_accepted_socket PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_ssl_handshake_connection_lost PASSED tests/test_tcp.py::Test_AIO_TCPSSL::test_ssl_handshake_timeout SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_start_tls_client_buf_proto_1 SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_start_tls_client_corrupted_ssl SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_start_tls_client_reg_proto_1 SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_start_tls_server_1 SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_start_tls_slow_client_cancel SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_start_tls_wrong_args SKIPPED tests/test_tcp.py::Test_AIO_TCPSSL::test_write_to_closed_transport SKIPPED tests/test_testbase.py::TestBaseTest::test_duplicate_methods PASSED tests/test_testbase.py::TestBaseTest::test_duplicate_methods_parent_1 PASSED tests/test_testbase.py::TestBaseTest::test_duplicate_methods_parent_2 PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_1 PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_addrs_ipv4 PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_addrs_ipv4_nameaddr PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_addrs_ipv6 PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_ipv6_family PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_reuse_address_error PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_reuse_address_warning PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_sock PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_sock_unix_domain PASSED tests/test_udp.py::Test_UV_UDP::test_create_datagram_endpoint_wrong_sock PASSED tests/test_udp.py::Test_UV_UDP::test_send_after_close PASSED tests/test_udp.py::Test_UV_UDP::test_socketpair PASSED tests/test_udp.py::Test_UV_UDP::test_udp_sendto_dns PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_1 PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_addrs_ipv4 PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_addrs_ipv4_nameaddr PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_addrs_ipv6 PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_ipv6_family PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_reuse_address_error SKIPPED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_reuse_address_warning SKIPPED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_sock PASSED tests/test_udp.py::Test_AIO_UDP::test_create_datagram_endpoint_sock_unix_domain PASSED tests/test_udp.py::Test_AIO_UDP::test_socketpair PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_2 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_3 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_4 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_5 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_6 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_open_con_sock PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_open_unix_con_addr PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_open_unix_con_sock PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_connection_pathlib PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_1 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_2 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_3 PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_existing_path_sock PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_path_dgram PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_path_stream_bittype PASSED tests/test_unix.py::Test_UV_Unix::test_create_unix_server_pathlib PASSED tests/test_unix.py::Test_UV_Unix::test_epollhup PASSED tests/test_unix.py::Test_UV_Unix::test_transport_fromsock_get_extra_info PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_2 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_3 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_4 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_5 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_6 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_open_con_sock PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_open_unix_con_addr PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_connection_open_unix_con_sock PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_server_1 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_server_2 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_server_3 PASSED tests/test_unix.py::Test_AIO_Unix::test_create_unix_server_existing_path_sock PASSED tests/test_unix.py::Test_UV_UnixSSL::test_create_unix_connection_ssl_1 PASSED tests/test_unix.py::Test_UV_UnixSSL::test_create_unix_server_ssl_1 PASSED tests/test_unix.py::Test_AIO_UnixSSL::test_create_unix_connection_ssl_1 PASSED tests/test_unix.py::Test_AIO_UnixSSL::test_create_unix_server_ssl_1 PASSED =============================== warnings summary =============================== :488 :488: UserWarning: enum class uv_fs_event not importable from uvloop.includes.uv. You are probably using a cpdef enum declared in a .pxd file that does not have a .py or .pyx file. tests/test_aiohttp.py::Test_UV_AioHTTP::test_aiohttp_graceful_shutdown /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/tests/test_aiohttp.py:81: NotAppKeyWarning: It is recommended to use web.AppKey instances for keys. https://docs.aiohttp.org/en/stable/web_advanced.html#application-s-config app['websockets'] = weakref.WeakSet() tests/test_aiohttp.py::Test_UV_AioHTTP::test_aiohttp_graceful_shutdown /usr/lib/python3/site-packages/aiohttp/web_runner.py:95: DeprecationWarning: shutdown_timeout should be set on BaseRunner super().__init__( tests/test_context.py: 8 warnings tests/test_tcp.py: 25 warnings tests/test_unix.py: 4 warnings /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/.run_venv/lib/python3/site-packages/uvloop/_testbase.py:273: DeprecationWarning: ssl.PROTOCOL_TLS is deprecated sslcontext = ssl.SSLContext(ssl.PROTOCOL_TLS) tests/test_executors.py::TestUVExecutors::test_executors_process_pool_01 tests/test_executors.py::TestAIOExecutors::test_executors_process_pool_01 tests/test_regr1.py::TestIssue39Regr::test_issue39_regression /usr/lib/python3.12/multiprocessing/popen_fork.py:66: DeprecationWarning: This process (pid=1788152) is multi-threaded, use of fork() may lead to deadlocks in the child. self.pid = os.fork() tests/test_tcp.py::Test_UV_TCPSSL::test_flush_before_shutdown /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/tests/test_tcp.py:2596: DeprecationWarning: ssl.OP_NO_SSL*/ssl.OP_NO_TLS* options are deprecated client_sslctx.options |= ssl.OP_NO_TLSv1_3 tests/test_tcp.py::Test_UV_TCPSSL::test_renegotiation /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/tests/test_tcp.py:2237: DeprecationWarning: ssl.OP_NO_SSL*/ssl.OP_NO_TLS* options are deprecated client_sslctx.options |= ssl.OP_NO_TLSv1_3 tests/test_tcp.py::Test_UV_TCPSSL::test_ssl_connect_accepted_socket tests/test_tcp.py::Test_AIO_TCPSSL::test_ssl_connect_accepted_socket /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/tests/test_tcp.py:1637: DeprecationWarning: ssl.PROTOCOL_TLS is deprecated server_context = ssl.SSLContext(proto) tests/test_tcp.py::Test_UV_TCPSSL::test_ssl_connect_accepted_socket tests/test_tcp.py::Test_AIO_TCPSSL::test_ssl_connect_accepted_socket /usr/src/RPM/BUILD/python3-module-uvloop-0.19.0/tests/test_tcp.py:1643: DeprecationWarning: ssl.PROTOCOL_TLS is deprecated client_context = ssl.SSLContext(proto) -- Docs: https://docs.pytest.org/en/stable/how-to/capture-warnings.html =========================== short test summary info ============================ SKIPPED [1] tests/test_aiohttp.py:51: bug in aiohttp: #7675 SKIPPED [1] tests/test_context.py:435: this seems to be a bug in asyncio SKIPPED [1] tests/test_context.py:454: should pass on 3.11 SKIPPED [1] tests/test_context.py:578: this seems to be a bug in asyncio SKIPPED [2] tests/test_dns.py:107: Must fail with libuv >= 1.48.0 SKIPPED [2] tests/test_dns.py:112: Must fail with libuv >= 1.48.0 SKIPPED [1] tests/test_dns.py:213: Skipped SKIPPED [1] tests/test_sourcecode.py:13: flake8 module is missing SKIPPED [1] tests/test_sourcecode.py:40: mypy module is missing SKIPPED [1] tests/test_tcp.py:3018: bpo-39951 SKIPPED [1] tests/test_tcp.py:1764: Skipped SKIPPED [1] tests/test_tcp.py:1483: Skipped SKIPPED [1] tests/test_tcp.py:1447: Skipped SKIPPED [1] tests/test_tcp.py:2074: asyncio does not support SSL over SSL SKIPPED [1] tests/test_tcp.py:3100: Skipped SKIPPED [1] tests/test_tcp.py:2581: Skipped SKIPPED [1] tests/test_tcp.py:2811: Skipped SKIPPED [1] tests/test_tcp.py:2220: asyncio does not support renegotiation SKIPPED [1] tests/test_tcp.py:2438: Skipped SKIPPED [1] tests/test_tcp.py:2340: Skipped SKIPPED [1] tests/test_tcp.py:2878: Skipped SKIPPED [1] tests/test_tcp.py:2936: Skipped SKIPPED [1] tests/test_tcp.py:1535: Skipped SKIPPED [1] tests/test_tcp.py:1830: Skipped SKIPPED [1] tests/test_tcp.py:1651: Skipped SKIPPED [1] tests/test_tcp.py:1700: Skipped SKIPPED [1] tests/test_tcp.py:1992: Skipped SKIPPED [1] tests/test_tcp.py:1929: Skipped SKIPPED [1] tests/test_tcp.py:1520: Skipped SKIPPED [1] tests/test_tcp.py:2502: Skipped SKIPPED [1] tests/test_udp.py:323: Skipped SKIPPED [1] tests/test_udp.py:337: Skipped =========== 470 passed, 34 skipped, 49 warnings in 111.50s (0:01:51) =========== INFO : Command's result: OK + exit 0 Processing files: python3-module-uvloop-0.19.0-alt3 Executing(%doc): /bin/sh -e /usr/src/tmp/rpm-tmp.76725 + umask 022 + /bin/mkdir -p /usr/src/RPM/BUILD + cd /usr/src/RPM/BUILD + cd python3-module-uvloop-0.19.0 + DOCDIR=/usr/src/tmp/python3-module-uvloop-buildroot/usr/share/doc/python3-module-uvloop-0.19.0 + export DOCDIR + rm -rf /usr/src/tmp/python3-module-uvloop-buildroot/usr/share/doc/python3-module-uvloop-0.19.0 + /bin/mkdir -p /usr/src/tmp/python3-module-uvloop-buildroot/usr/share/doc/python3-module-uvloop-0.19.0 + cp -prL README.rst LICENSE-APACHE LICENSE-MIT /usr/src/tmp/python3-module-uvloop-buildroot/usr/share/doc/python3-module-uvloop-0.19.0 + chmod -R go-w /usr/src/tmp/python3-module-uvloop-buildroot/usr/share/doc/python3-module-uvloop-0.19.0 + chmod -R a+rX /usr/src/tmp/python3-module-uvloop-buildroot/usr/share/doc/python3-module-uvloop-0.19.0 + exit 0 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.PEUmD9 find-provides: running scripts (alternatives,debuginfo,lib,pam,perl,pkgconfig,python,python3,shell) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.Hm8TZb find-requires: running scripts (cpp,debuginfo,files,lib,pam,perl,pkgconfig,pkgconfiglib,python,python3,rpmlib,shebang,shell,static,symlinks,systemd-services) py3prov: detected potential module:uvloop py3prov: detected potential module:uvloop py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py: skipping "sys" lines:[3] py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py: "uvloop" lines:[8] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py: "uvloop.loop" lines:[9] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/__init__.py: "uvloop._version" lines:[10] is possibly a self-providing dependency, skip it py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py: skipping "errno" lines:[7] py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py: skipping "gc" lines:[9] py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py: skipping "itertools" lines:[11] py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py: skipping "sys" lines:[18] py3req:/usr/src/tmp/python3-module-uvloop-buildroot/usr/lib/python3/site-packages/uvloop/includes/__init__.py: skipping "time" lines:[21] Provides: python3(uvloop), python3(uvloop._noop), python3(uvloop._version), python3(uvloop.includes), python3(uvloop.loop) Requires: /usr/lib/python3/site-packages, libc.so.6(GLIBC_2.0), libc.so.6(GLIBC_2.1), libc.so.6(GLIBC_2.1.3), libc.so.6(GLIBC_2.3.2), libc.so.6(GLIBC_2.3.4), libc.so.6(GLIBC_2.4), libuv.so.1 >= set:mfmpdBnA3e2mQni16ksOVZhPXIjDlB7FaGZD4QExS8kj1uCNaIOxY2FsBC8Q5DQjoiBZy5246jFjEfB7IeLA57sgvozXjcrK6yM46nszyTSaOB2uBfMpZun8OcxkEMxovnL9XCufUYwZqk96XKSw2fADmBCmYwG52T304pxGk, python3(asyncio) < 0, python3(asyncio.events) < 0, python3(collections) < 0, python3(concurrent.futures) < 0, python3(functools) < 0, python3(inspect) < 0, python3(os) < 0, python3(signal) < 0, python3(socket) < 0, python3(ssl) < 0, python3(stat) < 0, python3(subprocess) < 0, python3(threading) < 0, python3(traceback) < 0, python3(typing) < 0, python3(warnings) < 0, python3(weakref) < 0, python3.12-ABI, rtld(GNU_HASH) Requires(rpmlib): rpmlib(SetVersions) Finding debuginfo files (using /usr/lib/rpm/find-debuginfo-files) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.UpKIYt Creating python3-module-uvloop-debuginfo package Processing files: python3-module-uvloop-debuginfo-0.19.0-alt3 Finding Provides (using /usr/lib/rpm/find-provides) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.JQPpYH find-provides: running scripts (debuginfo) Finding Requires (using /usr/lib/rpm/find-requires) Executing: /bin/sh -e /usr/src/tmp/rpm-tmp.tmeApD find-requires: running scripts (debuginfo) Requires: python3-module-uvloop = 0.19.0-alt3, debug(libc.so.6), debug(libuv.so.1) Adding to python3-module-uvloop-debuginfo a strict dependency on python3-module-uvloop Wrote: /usr/src/RPM/RPMS/i586/python3-module-uvloop-0.19.0-alt3.i586.rpm (w2.lzdio) Wrote: /usr/src/RPM/RPMS/i586/python3-module-uvloop-debuginfo-0.19.0-alt3.i586.rpm (w2T16.xzdio) 220.11user 33.98system 4:10.72elapsed 101%CPU (0avgtext+0avgdata 557464maxresident)k 0inputs+0outputs (0major+7150235minor)pagefaults 0swaps 6.10user 3.70system 4:31.70elapsed 3%CPU (0avgtext+0avgdata 127148maxresident)k 616inputs+0outputs (0major+328014minor)pagefaults 0swaps --- python3-module-uvloop-0.19.0-alt3.i586.rpm.repo 2024-04-12 11:52:24.000000000 +0000 +++ python3-module-uvloop-0.19.0-alt3.i586.rpm.hasher 2024-04-27 11:07:24.671638828 +0000 @@ -86,3 +86,3 @@ File: /usr/lib/python3/site-packages/uvloop/includes/__pycache__/__init__.cpython-312.pyc 100644 root:root a56e9536a8151e15fa6202e7e1863118 -File: /usr/lib/python3/site-packages/uvloop/loop.cpython-312.so 100644 root:root 006df372954b49d07cfa369fda5ea769 +File: /usr/lib/python3/site-packages/uvloop/loop.cpython-312.so 100644 root:root f79d0404dd2fbfc8f300dbe77aed7eb1 File: /usr/lib/python3/site-packages/uvloop/loop.pyi 100644 root:root e5564db04c25d8e12adb4bb18d955271 @@ -93,2 +93,2 @@ File: /usr/share/doc/python3-module-uvloop-0.19.0/README.rst 100644 root:root da328e2cfc9f3eefea4a6d3c8dacd2b5 -RPMIdentity: 12bdfaaf215ebc14a54b18e2235083b29283a5207d3dff6cf878318b84af290eeb8f820089099e0363ce69417a2fe264b2b8b7babfedd2f113bf7113abf63a54 +RPMIdentity: e4e6893b6e0a46108f3198438e8c84003840631394ccd584a2a50482fc6f2831681c719a2794a954f9cd7c6c18447987e54cff3269966ecfc2c07e38f8939d2e --- python3-module-uvloop-debuginfo-0.19.0-alt3.i586.rpm.repo 2024-04-12 11:52:25.000000000 +0000 +++ python3-module-uvloop-debuginfo-0.19.0-alt3.i586.rpm.hasher 2024-04-27 11:07:24.774640607 +0000 @@ -1,4 +1,4 @@ -/usr/lib/debug/.build-id/07 40755 root:root -/usr/lib/debug/.build-id/07/0f7404748193cdd757255dc3bcdb14986887cb 120777 root:root ../../../python3/site-packages/uvloop/loop.cpython-312.so -/usr/lib/debug/.build-id/07/0f7404748193cdd757255dc3bcdb14986887cb.debug 120777 root:root ../../usr/lib/python3/site-packages/uvloop/loop.cpython-312.so.debug +/usr/lib/debug/.build-id/08 40755 root:root +/usr/lib/debug/.build-id/08/46386f84d1f5bff58b62a8928c8302daf2e51a 120777 root:root ../../../python3/site-packages/uvloop/loop.cpython-312.so +/usr/lib/debug/.build-id/08/46386f84d1f5bff58b62a8928c8302daf2e51a.debug 120777 root:root ../../usr/lib/python3/site-packages/uvloop/loop.cpython-312.so.debug /usr/lib/debug/usr/lib/python3 40755 root:root @@ -18,5 +18,5 @@ Provides: python3-module-uvloop-debuginfo = 0.19.0-alt3:sisyphus+344993.100.1.1 -File: /usr/lib/debug/.build-id/07 40755 root:root -File: /usr/lib/debug/.build-id/07/0f7404748193cdd757255dc3bcdb14986887cb 120777 root:root ../../../python3/site-packages/uvloop/loop.cpython-312.so -File: /usr/lib/debug/.build-id/07/0f7404748193cdd757255dc3bcdb14986887cb.debug 120777 root:root ../../usr/lib/python3/site-packages/uvloop/loop.cpython-312.so.debug +File: /usr/lib/debug/.build-id/08 40755 root:root +File: /usr/lib/debug/.build-id/08/46386f84d1f5bff58b62a8928c8302daf2e51a 120777 root:root ../../../python3/site-packages/uvloop/loop.cpython-312.so +File: /usr/lib/debug/.build-id/08/46386f84d1f5bff58b62a8928c8302daf2e51a.debug 120777 root:root ../../usr/lib/python3/site-packages/uvloop/loop.cpython-312.so.debug File: /usr/lib/debug/usr/lib/python3 40755 root:root @@ -24,3 +24,3 @@ File: /usr/lib/debug/usr/lib/python3/site-packages/uvloop 40755 root:root -File: /usr/lib/debug/usr/lib/python3/site-packages/uvloop/loop.cpython-312.so.debug 100644 root:root 61e8716197d64eb6644b5dcd712bd834 +File: /usr/lib/debug/usr/lib/python3/site-packages/uvloop/loop.cpython-312.so.debug 100644 root:root ec135ba229d11ae12e3423c93b923814 File: /usr/src/debug/python3-module-uvloop-0.19.0 40755 root:root @@ -31,2 +31,2 @@ File: /usr/src/debug/python3-module-uvloop-0.19.0/uvloop/loop.c 100644 root:root 8eb6994360600a1ce1fcd15c3b189515 -RPMIdentity: 2bcb178f40c829d1b80401d585260e684864217f23c79fb21b8310256aa63dd355f648d01b24cbec12c34926377c801fa1fd7edb434966759007d03a4a1218d9 +RPMIdentity: 4b82c74611f9f714c042b3db36a1b73358fcea5819456bda5e890ba25c8870a4e76dd17f3ba34b26cd0c686bdda350eacd025aef49b6527d45dd4daed7ceb79b